From 5d2ef271e6b690dd5d1cc8f447d9c2a1d733eb38 Mon Sep 17 00:00:00 2001 From: Jonas Suhr Christensen Date: Mon, 23 Apr 2018 09:01:48 +0200 Subject: schematic: First version of breakout board for codecs --- documentation/schematic/dginabox.dcm | 372 ----------- documentation/schematic/dginabox.pro | 3 +- documentation/schematic/dginabox.sch | 1142 ++++++++++++++++++++++++++++++++++ 3 files changed, 1144 insertions(+), 373 deletions(-) diff --git a/documentation/schematic/dginabox.dcm b/documentation/schematic/dginabox.dcm index 195b338..5f3ed79 100644 --- a/documentation/schematic/dginabox.dcm +++ b/documentation/schematic/dginabox.dcm @@ -1,375 +1,3 @@ EESchema-DOCLIB Version 2.0 # -$CMP ISO7320C -D Low Power Dual-Channel 2/0 Digital Isolator, 25Mbps 33ns, Fail-Safe High, SO8 -K 2Ch Dual Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7320c&fileType=pdf -$ENDCMP -# -$CMP ISO7320FC -D Low Power Dual-Channel 2/0 Digital Isolator, 25Mbps 33ns, Fail-Safe Low, SO8 -K 2Ch Dual Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7320fc&fileType=pdf -$ENDCMP -# -$CMP ISO7321C -D Low Power Dual-Channel 1/1 Digital Isolator, 25Mbps 33ns, Fail-Safe High, SO8 -K 2Ch Dual Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7321c&fileType=pdf -$ENDCMP -# -$CMP ISO7321FC -D Low Power Dual-Channel 1/1 Digital Isolator, 25Mbps 33ns, Fail-Safe Low, SO8 -K 2Ch Dual Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7321fc&fileType=pdf -$ENDCMP -# -$CMP ISO7340C -D Low Power Quad-Channel 4/0 Digital Isolator, 25Mbps 31ns, Fail-Safe High, SO16 -K 4Ch Quad Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7340c&fileType=pdf -$ENDCMP -# -$CMP ISO7340FC -D Low Power Quad-Channel 4/0 Digital Isolator, 25Mbps 31ns, Fail-Safe Low, SO16 -K 4Ch Quad Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7340fc&fileType=pdf -$ENDCMP -# -$CMP ISO7341C -D Low Power Quad-Channel 3/1 Digital Isolator, 25Mbps 31ns, Fail-Safe High, SO16 -K 4Ch Quad Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7341c&fileType=pdf -$ENDCMP -# -$CMP ISO7341FC -D Low Power Quad-Channel 3/1 Digital Isolator, 25Mbps 31ns, Fail-Safe Low, SO16 -K 4Ch Quad Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7341fc&fileType=pdf -$ENDCMP -# -$CMP ISO7342C -D Low Power Quad-Channel 2/2 Digital Isolator, 25Mbps 31ns, Fail-Safe High, SO16 -K 4Ch Quad Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7342c&fileType=pdf -$ENDCMP -# -$CMP ISO7342FC -D Low Power Quad-Channel 2/2 Digital Isolator, 25Mbps 31ns, Fail-Safe Low, SO16 -K 4Ch Quad Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7342fc&fileType=pdf -$ENDCMP -# -$CMP LM3S6911-EQC50 -D Ti Stellaris ARM-M3 MCU Ethernet PHY, LQFP100 -K MCU ARM M3 Stellaris PHY ETH NRND -F http://www.ti.com/lit/ds/symlink/lm3s6911.pdf -$ENDCMP -# -$CMP LM3S6911-IQC50 -D Ti Stellaris ARM-M3 MCU Ethernet PHY, Industrial grade, LQFP100 -K MCU ARM M3 Stellaris PHY ETH NRND -F http://www.ti.com/lit/ds/symlink/lm3s6911.pdf -$ENDCMP -# -$CMP LM4F110B2QR -D Replaced by TM4C1231C3PM, LQFP64 -K ARM Stellaris Cortex M4 MCU NRND -F http://www.ti.com/lit/ds/symlink/tm4c1231c3pm.pdf -$ENDCMP -# -$CMP LM4F110C4QR -D Replaced by TM4C1231D5PM, LQFP64 -K ARM Stellaris Cortex M4 MCU NRND -F http://www.ti.com/lit/ds/symlink/tm4c1231d5pm.pdf -$ENDCMP -# -$CMP LM4F110E5QR -D Replaced by TM4C1231E6PM, LQFP64 -K ARM Stellaris Cortex M4 MCU NRND -F http://www.ti.com/lit/ds/symlink/tm4c1231e6pm.pdf -$ENDCMP -# -$CMP LM4F110H5QR -D Replaced by TM4C1231H6PM, LQFP64 -K ARM Stellaris Cortex M4 MCU NRND -F http://www.ti.com/lit/ds/symlink/tm4c1231h6pm.pdf -$ENDCMP -# -$CMP LM4F111B2QR -D Replaced by TM4C1230C3PM, LQFP64 -K ARM Stellaris Cortex M4 MCU NRND -F http://www.ti.com/lit/ds/symlink/tm4c1230c3pm.pdf -$ENDCMP -# -$CMP LM4F111C4QR -D Replaced by TM4C1230D5PM, LQFP64 -K ARM Stellaris Cortex M4 MCU NRND -F http://www.ti.com/lit/ds/symlink/tm4c1230d5pm.pdf -$ENDCMP -# -$CMP LM4F111E5QR -D Replaced by TM4C1230E6PM, LQFP64 -K ARM Stellaris Cortex M4 MCU NRND -F http://www.ti.com/lit/ds/symlink/tm4c1230c3pm.pdf -$ENDCMP -# -$CMP LM4F111H5QR -D Relpaced by TM4C1230H6PM, LQFP64 -K ARM Stellaris Cortex M4 MCU NRND -F http://www.ti.com/lit/ds/symlink/tm4c1230h6pm.pdf -$ENDCMP -# -$CMP LM5175PWP -D 42-V wide Vin synchronous 4-switch Buck-Boost controller, HTSSOP-28 package -K Buck Boost step-up step-down -F http://www.ti.com/lit/ds/symlink/lm5175.pdf -$ENDCMP -# -$CMP LM5175RHF -D 42-V wide Vin synchronous 4-switch Buck-Boost controller, QFN-28 package -K Buck Boost step-up step-down -F http://www.ti.com/lit/ds/symlink/lm5175.pdf -$ENDCMP -# -$CMP TCA9555PWR -D 16-bit I/O expander, I2C and SMBus interface, interrupts, TSSOP-24 package -K ti parallel port -F http://www.ti.com/lit/ds/symlink/tca9555.pdf -$ENDCMP -# -$CMP TCA9555RGER -D 16-bit I/O expander, I2C and SMBus interface, interrupts, QFN-24 package -K ti parallel port -F http://www.ti.com/lit/ds/symlink/tca9555.pdf -$ENDCMP -# -$CMP TCA9555RTWR -D 16-bit I/O expander, I2C and SMBus interface, interrupts, QFN-24 package -K ti parallel port -F http://www.ti.com/lit/ds/symlink/tca9555.pdf -$ENDCMP -# -$CMP TLC555 -D CMOS Timer -K Timer NE555 -F http://www.ti.com/lit/ds/symlink/tlc555.pdf -$ENDCMP -# -$CMP TLC5940NT -D 16-Channel LED Driver With DOT Correction and Grayscale PWM Control, DIP package -K PWM LED driver -F http://www.ti.com/lit/ds/symlink/tlc5940.pdf -$ENDCMP -# -$CMP TLC5940PWP -D 16-Channel LED Driver With DOT Correction and Grayscale PWM Control, TSSOP package with thermal pad -K PWM LED driver -F http://www.ti.com/lit/ds/symlink/tlc5940.pdf -$ENDCMP -# -$CMP TLC5973 -D 3 Channel 12bit PWM constant currend LED Driver with single wire interface -K LED current driver 12bit PWM -F http://www.ti.com/lit/ds/symlink/tlc5973.pdf -$ENDCMP -# -$CMP TM4C1230C3PM -D Tiva ARM 32bit CortexM4 Microcotroller, 80MHz, 32kB Flash, 12kB SRAM, 2k EEPROM, LQFP64 -K ARM Tiva Cortex M4 MCU -F http://www.ti.com/lit/ds/symlink/tm4c1230c3pm.pdf -$ENDCMP -# -$CMP TM4C1230D5PM -D Tiva ARM 32bit CortexM4 Microcotroller, 80MHz, 64kB Flash, 24kB SRAM, 2k EEPROM, LQFP64 -K ARM Tiva Cortex M4 MCU -F http://www.ti.com/lit/ds/symlink/tm4c1230d5pm.pdf -$ENDCMP -# -$CMP TM4C1230E6PM -D Tiva ARM 32bit CortexM4 Microcotroller, 80MHz, 128kB Flash, 32kB SRAM, 2k EEPROM, LQFP64 -K ARM Tiva Cortex M4 MCU -F http://www.ti.com/lit/ds/symlink/tm4c1230e6pm.pdf -$ENDCMP -# -$CMP TM4C1230H6PM -D Tiva ARM 32bit CortexM4 Microcotroller, 80MHz, 256kB Flash, 32kB SRAM, 2k EEPROM, LQFP64 -K ARM Tiva Cortex M4 MCU -F http://www.ti.com/lit/ds/symlink/tm4c1230h6pm.pdf -$ENDCMP -# -$CMP TM4C1231C3PM -D Tiva ARM 32bit CortexM4 Microcotroller, 80MHz, 32kB Flash, 12kB SRAM, 2k EEPROM, LQFP64 -K ARM Tiva Cortex M4 MCU -F http://www.ti.com/lit/ds/symlink/tm4c1231c3pm.pdf -$ENDCMP -# -$CMP TM4C1231D5PM -D Tiva ARM 32bit CortexM4 Microcotroller, 80MHz, 64kB Flash, 24kB SRAM, 2k EEPROM, LQFP64 -K ARM Tiva Cortex M4 MCU -F http://www.ti.com/lit/ds/symlink/tm4c1231d5pm.pdf -$ENDCMP -# -$CMP TM4C1231E6PM -D Tiva ARM 32bit CortexM4 Microcotroller, 80MHz, 128kB Flash, 32kB SRAM, 2k EEPROM, LQFP64 -K ARM Tiva Cortex M4 MCU -F http://www.ti.com/lit/ds/symlink/tm4c1231e6pm.pdf -$ENDCMP -# -$CMP TM4C1231H6PM -D Tiva ARM 32bit CortexM4 Microcotroller, 80MHz, 256kB Flash, 32kB SRAM, 2k EEPROM, LQFP64 -K ARM Tiva Cortex M4 MCU -F http://www.ti.com/lit/ds/symlink/tm4c1231h6pm.pdf -$ENDCMP -# -$CMP TMS470R1B768 -D ARM7TDM Microcontroller, 768KB Flash, 48KB RAM, PQFP-144 -K ARM 7TDM uC TMS470 -F http://www.ti.com/lit/ds/symlink/tms470r1b768.pdf -$ENDCMP -# -$CMP TPS2041B -D Single power-distribution switcher -K 1-chanel power-distribution USB -F http://www.ti.com/lit/ds/symlink/tps2041.pdf -$ENDCMP -# -$CMP TPS2042D -D Dual power-distribution switcher -K 2-chanel power-distribution USB -F http://www.ti.com/lit/ds/symlink/tps2042.pdf -$ENDCMP -# -$CMP TPS2044D -D Quad power-distribution switcher -K 4-chanel power-distribution USB -F http://www.ti.com/lit/ds/symlink/tps2044.pdf -$ENDCMP -# -$CMP TPS2054D -D Quad power-distribution switcher -K 4-chanel power-distribution USB -F http://www.ti.com/lit/ds/symlink/tps2044.pdf -$ENDCMP -# -$CMP TPS2513 -D USB Dedicated Charging Port Controller -K USB Charge -F http://www.ti.com/lit/ds/symlink/tps2513.pdf -$ENDCMP -# -$CMP TPS2513A -D USB Dedicated Charging Port Controller -K USB Charge -F http://www.ti.com/lit/ds/symlink/tps2513.pdf -$ENDCMP -# -$CMP TPS2514 -D USB Dedicated Charging Port Controller -K USB Charge -F http://www.ti.com/lit/ds/symlink/tps2513.pdf -$ENDCMP -# -$CMP TPS2514A -D USB Dedicated Charging Port Controller -K USB Charge -F http://www.ti.com/lit/ds/symlink/tps2513.pdf -$ENDCMP -# -$CMP TPS2560 -D Dual channel precision adjustable current-limited power switches -K power switche current limited usb port -F http://www.ti.com/lit/ds/symlink/tps2560.pdf -$ENDCMP -# -$CMP TPS2561 -D Dual channel precision adjustable current-limited power switches -K power switche current limited usb port -F http://www.ti.com/lit/ds/symlink/tps2561.pdf -$ENDCMP -# -$CMP TPS562200 -D 4.5 to 17V input, 2A synchronous step-down voltage regulator -K step-down dcdc voltage regulator -F http://www.ti.com/lit/ds/symlink/tps563200.pdf -$ENDCMP -# -$CMP TPS563200 -D 4.5 to 17V input, 3A synchronous step-down voltage regulator -K step-down dcdc voltage regulator -F http://www.ti.com/lit/ds/symlink/tps563200.pdf -$ENDCMP -# -$CMP TPS62130 -D 3-17V 3A Step-down converter in 3x3 QFN package -K step-down dcdc converter -F http://www.ti.com/lit/ds/symlink/tps62130.pdf -$ENDCMP -# -$CMP TPS62132 -D 3-17V, 3A step-down converter -K step down dcdc converter -F http://www.ti.com/lit/ds/symlink/tps62130.pdf -$ENDCMP -# -$CMP TS5A3159 -D 1-Ohm SPDT Analog Switch, Break-Before-Make -K SDPT Switch -F http://www.ti.com/lit/ds/symlink/ts5a3159.pdf -$ENDCMP -# -$CMP TS5A3160 -D 1-Ohm SPDT Analog Switch, Make-Before-Break -K SDPT Switch -F http://www.ti.com/lit/ds/symlink/ts5a3160.pdf -$ENDCMP -# -$CMP TUSB2036 -D 2- or 3-Port USB1.1 HUB with optional serial EEPROM -K 2-Port, 3-Port, 3.3V, EEPROM, Full Speed, Hub, Texas Instruments, USB1.1 -F http://www.ti.com/lit/ds/symlink/tusb2036.pdf -$ENDCMP -# -$CMP TUSB4041I -D four port USB 2.0 Hub -K USB2.0 hub -F http://www.ti.com/lit/ds/symlink/tusb4041i.pdf -$ENDCMP -# -$CMP TUSB8041 -D four port USB 3.0 Hub -K USB3.0 hub -F http://www.ti.com/lit/ds/symlink/tusb8041.pdf -$ENDCMP -# -$CMP UCC2891 -D SMPS Current-Mode Active Clamp PWM Controller, SOIC-16/TSSOP-16 -K SMPS PWM Controller -F http://www.ti.com/lit/ds/symlink/ucc2891.pdf -$ENDCMP -# -$CMP UCC2892 -D SMPS Current-Mode Active Clamp PWM Controller, SOIC-16/TSSOP-16 -K SMPS PWM Controller -F http://www.ti.com/lit/ds/symlink/ucc2892.pdf -$ENDCMP -# -$CMP UCC2893 -D SMPS Current-Mode Active Clamp PWM Controller, SOIC-16/TSSOP-16 -K SMPS PWM Controller -F http://www.ti.com/lit/ds/symlink/ucc2893.pdf -$ENDCMP -# -$CMP UCC2894 -D SMPS Current-Mode Active Clamp PWM Controller, SOIC-16/TSSOP-16 -K SMPS PWM Controller -F http://www.ti.com/lit/ds/symlink/ucc2894.pdf -$ENDCMP -# -$CMP UCC2897 -D SMPS Advanced Current-Mode Active Clamp PWM Controller, TSSOP-20/QFN-20 -K SMPS PWM Controller -F http://www.ti.com/lit/ds/symlink/ucc2897.pdf -$ENDCMP -# #End Doc Library diff --git a/documentation/schematic/dginabox.pro b/documentation/schematic/dginabox.pro index 94303f8..abdc703 100644 --- a/documentation/schematic/dginabox.pro +++ b/documentation/schematic/dginabox.pro @@ -1,4 +1,4 @@ -update=2017-09-12T20:04:28 CEST +update=2018-02-27T19:29:26 CET version=1 last_client=kicad [pcbnew] @@ -60,3 +60,4 @@ LibName28=contrib LibName29=valves LibName30=drumgizmo LibName31=dginabox +LibName32=/home/nemo/projects/KiCad-Schematic-Symbol-Libraries/Lattice_iCE_FPGA diff --git a/documentation/schematic/dginabox.sch b/documentation/schematic/dginabox.sch index 8b829fb..326c200 100644 --- a/documentation/schematic/dginabox.sch +++ b/documentation/schematic/dginabox.sch @@ -1 +1,1143 @@ EESchema Schematic File Version 2 +LIBS:power +LIBS:device +LIBS:transistors +LIBS:conn +LIBS:linear +LIBS:regul +LIBS:74xx +LIBS:cmos4000 +LIBS:adc-dac +LIBS:memory +LIBS:xilinx +LIBS:microcontrollers +LIBS:dsp +LIBS:microchip +LIBS:analog_switches +LIBS:motorola +LIBS:texas +LIBS:intel +LIBS:audio +LIBS:interface +LIBS:digital-audio +LIBS:philips +LIBS:display +LIBS:cypress +LIBS:siliconi +LIBS:opto +LIBS:atmel +LIBS:contrib +LIBS:valves +LIBS:dginabox +LIBS:Lattice_iCE_FPGA +LIBS:dginabox-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 1 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L PCM3168A U? +U 1 1 5A95B6EC +P 5550 3900 +F 0 "U?" H 5500 3800 60 0000 C CNN +F 1 "PCM3168A" H 5550 3900 60 0000 C CNN +F 2 "Housings_QFP:HTQFP-64-1EP_10x10mm_Pitch0.5mm_ThermalPad" H 5400 3650 60 0001 C CNN +F 3 "http://www.ti.com/lit/ds/symlink/pcm3168a-q1.pdf" H 5400 3650 60 0001 C CNN + 1 5550 3900 + 1 0 0 -1 +$EndComp +$Comp +L Conn_01x16 J? +U 1 1 5ACCF51C +P 9650 3950 +F 0 "J?" H 9650 4750 50 0000 C CNN +F 1 "Conn_01x16" H 9650 3050 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Straight_1x16_Pitch2.54mm" H 9650 3950 50 0001 C CNN +F 3 "" H 9650 3950 50 0001 C CNN + 1 9650 3950 + 1 0 0 1 +$EndComp +$Comp +L Conn_01x16 J? +U 1 1 5ACCF8AB +P 750 3450 +F 0 "J?" H 750 4250 50 0000 C CNN +F 1 "Conn_01x16" H 750 2550 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Straight_1x16_Pitch2.54mm" H 750 3450 50 0001 C CNN +F 3 "" H 750 3450 50 0001 C CNN + 1 750 3450 + -1 0 0 -1 +$EndComp +$Comp +L Conn_01x16 J? +U 1 1 5ACCF945 +P 5600 650 +F 0 "J?" H 5600 1450 50 0000 C CNN +F 1 "Conn_01x16" H 5600 -250 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Straight_1x16_Pitch2.54mm" H 5600 650 50 0001 C CNN +F 3 "" H 5600 650 50 0001 C CNN + 1 5600 650 + 0 1 -1 0 +$EndComp +$Comp +L Conn_01x16 J? +U 1 1 5ACCF9EA +P 5500 6550 +F 0 "J?" H 5500 7350 50 0000 C CNN +F 1 "Conn_01x16" H 5500 5650 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Straight_1x16_Pitch2.54mm" H 5500 6550 50 0001 C CNN +F 3 "" H 5500 6550 50 0001 C CNN + 1 5500 6550 + 0 -1 1 0 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5ACCFFE9 +P 6350 2300 +F 0 "#PWR?" H 6350 2050 50 0001 C CNN +F 1 "GNDA" H 6350 2150 50 0000 C CNN +F 2 "" H 6350 2300 50 0001 C CNN +F 3 "" H 6350 2300 50 0001 C CNN + 1 6350 2300 + -1 0 0 1 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5ACD0095 +P 3850 3250 +F 0 "#PWR?" H 3850 3000 50 0001 C CNN +F 1 "GNDA" H 3850 3100 50 0000 C CNN +F 2 "" H 3850 3250 50 0001 C CNN +F 3 "" H 3850 3250 50 0001 C CNN + 1 3850 3250 + 0 1 1 0 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5ACD010C +P 4000 4900 +F 0 "#PWR?" H 4000 4650 50 0001 C CNN +F 1 "GNDA" H 4000 4750 50 0000 C CNN +F 2 "" H 4000 4900 50 0001 C CNN +F 3 "" H 4000 4900 50 0001 C CNN + 1 4000 4900 + 1 0 0 -1 +$EndComp +$Comp +L GNDD #PWR? +U 1 1 5ACD013C +P 3850 4150 +F 0 "#PWR?" H 3850 3900 50 0001 C CNN +F 1 "GNDD" H 3850 4025 50 0000 C CNN +F 2 "" H 3850 4150 50 0001 C CNN +F 3 "" H 3850 4150 50 0001 C CNN + 1 3850 4150 + 0 1 1 0 +$EndComp +$Comp +L GNDD #PWR? +U 1 1 5ACD0176 +P 7350 3000 +F 0 "#PWR?" H 7350 2750 50 0001 C CNN +F 1 "GNDD" H 7350 2875 50 0000 C CNN +F 2 "" H 7350 3000 50 0001 C CNN +F 3 "" H 7350 3000 50 0001 C CNN + 1 7350 3000 + 0 -1 -1 0 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5ACD01A9 +P 7300 4650 +F 0 "#PWR?" H 7300 4400 50 0001 C CNN +F 1 "GNDA" H 7300 4500 50 0000 C CNN +F 2 "" H 7300 4650 50 0001 C CNN +F 3 "" H 7300 4650 50 0001 C CNN + 1 7300 4650 + 0 -1 -1 0 +$EndComp +$Comp +L C C? +U 1 1 5AD6329D +P 7950 4700 +F 0 "C?" H 7975 4800 50 0000 L CNN +F 1 "1" H 7975 4600 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 7988 4550 50 0001 C CNN +F 3 "" H 7950 4700 50 0001 C CNN + 1 7950 4700 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5AD632E4 +P 3800 4700 +F 0 "C?" H 3825 4800 50 0000 L CNN +F 1 "10" H 3825 4600 50 0000 L CNN +F 2 "Capacitors_SMD:C_0805" H 3838 4550 50 0001 C CNN +F 3 "" H 3800 4700 50 0001 C CNN + 1 3800 4700 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5AD63483 +P 2750 5200 +F 0 "C?" H 2775 5300 50 0000 L CNN +F 1 "10" H 2775 5100 50 0000 L CNN +F 2 "Capacitors_SMD:C_0805" H 2788 5050 50 0001 C CNN +F 3 "" H 2750 5200 50 0001 C CNN + 1 2750 5200 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5AD63511 +P 3000 5200 +F 0 "C?" H 3025 5300 50 0000 L CNN +F 1 "1" H 3025 5100 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 3038 5050 50 0001 C CNN +F 3 "" H 3000 5200 50 0001 C CNN + 1 3000 5200 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5AD635C6 +P 8200 4700 +F 0 "C?" H 8225 4800 50 0000 L CNN +F 1 "10" H 8225 4600 50 0000 L CNN +F 2 "Capacitors_SMD:C_0805" H 8238 4550 50 0001 C CNN +F 3 "" H 8200 4700 50 0001 C CNN + 1 8200 4700 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5AD63787 +P 3000 4500 +F 0 "C?" H 3025 4600 50 0000 L CNN +F 1 "10" H 3025 4400 50 0000 L CNN +F 2 "Capacitors_SMD:C_0805" H 3038 4350 50 0001 C CNN +F 3 "" H 3000 4500 50 0001 C CNN + 1 3000 4500 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5AD637EF +P 3050 3050 +F 0 "C?" H 3075 3150 50 0000 L CNN +F 1 "1" H 3075 2950 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 3088 2900 50 0001 C CNN +F 3 "" H 3050 3050 50 0001 C CNN + 1 3050 3050 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5AD6384A +P 2800 3050 +F 0 "C?" H 2825 3150 50 0000 L CNN +F 1 "10" H 2825 2950 50 0000 L CNN +F 2 "Capacitors_SMD:C_0805" H 2838 2900 50 0001 C CNN +F 3 "" H 2800 3050 50 0001 C CNN + 1 2800 3050 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5AD63EC1 +P 6650 2100 +F 0 "C?" H 6675 2200 50 0000 L CNN +F 1 "1" H 6675 2000 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 6688 1950 50 0001 C CNN +F 3 "" H 6650 2100 50 0001 C CNN + 1 6650 2100 + 0 1 1 0 +$EndComp +$Comp +L C C? +U 1 1 5AD63F55 +P 6650 1850 +F 0 "C?" H 6675 1950 50 0000 L CNN +F 1 "10" H 6675 1750 50 0000 L CNN +F 2 "Capacitors_SMD:C_0805" H 6688 1700 50 0001 C CNN +F 3 "" H 6650 1850 50 0001 C CNN + 1 6650 1850 + 0 1 1 0 +$EndComp +$Comp +L C C? +U 1 1 5AD64062 +P 7950 3000 +F 0 "C?" H 7975 3100 50 0000 L CNN +F 1 "1" H 7975 2900 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 7988 2850 50 0001 C CNN +F 3 "" H 7950 3000 50 0001 C CNN + 1 7950 3000 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5AD64130 +P 8200 3000 +F 0 "C?" H 8225 3100 50 0000 L CNN +F 1 "10" H 8225 2900 50 0000 L CNN +F 2 "Capacitors_SMD:C_0805" H 8238 2850 50 0001 C CNN +F 3 "" H 8200 3000 50 0001 C CNN + 1 8200 3000 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5AD6429E +P 3700 2750 +F 0 "C?" H 3725 2850 50 0000 L CNN +F 1 "10" H 3725 2650 50 0000 L CNN +F 2 "Capacitors_SMD:C_0805" H 3738 2600 50 0001 C CNN +F 3 "" H 3700 2750 50 0001 C CNN + 1 3700 2750 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5AD64524 +P 5350 1500 +F 0 "C?" H 5375 1600 50 0000 L CNN +F 1 "10" H 5375 1400 50 0000 L CNN +F 2 "Capacitors_SMD:C_0805" H 5388 1350 50 0001 C CNN +F 3 "" H 5350 1500 50 0001 C CNN + 1 5350 1500 + 0 1 1 0 +$EndComp +$Comp +L R R? +U 1 1 5AD64E9D +P 2750 3650 +F 0 "R?" V 2830 3650 50 0000 C CNN +F 1 "100" V 2750 3650 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" V 2680 3650 50 0001 C CNN +F 3 "" H 2750 3650 50 0001 C CNN + 1 2750 3650 + 0 1 1 0 +$EndComp +$Comp +L R R? +U 1 1 5AD65215 +P 2750 3800 +F 0 "R?" V 2830 3800 50 0000 C CNN +F 1 "100" V 2750 3800 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" V 2680 3800 50 0001 C CNN +F 3 "" H 2750 3800 50 0001 C CNN + 1 2750 3800 + 0 1 1 0 +$EndComp +$Comp +L R R? +U 1 1 5AD6528C +P 2750 3950 +F 0 "R?" V 2830 3950 50 0000 C CNN +F 1 "100" V 2750 3950 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" V 2680 3950 50 0001 C CNN +F 3 "" H 2750 3950 50 0001 C CNN + 1 2750 3950 + 0 1 1 0 +$EndComp +$Comp +L R R? +U 1 1 5AD65310 +P 2750 4100 +F 0 "R?" V 2830 4100 50 0000 C CNN +F 1 "100" V 2750 4100 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" V 2680 4100 50 0001 C CNN +F 3 "" H 2750 4100 50 0001 C CNN + 1 2750 4100 + 0 1 1 0 +$EndComp +$Comp +L R R? +U 1 1 5AD65375 +P 2750 4250 +F 0 "R?" V 2830 4250 50 0000 C CNN +F 1 "100" V 2750 4250 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" V 2680 4250 50 0001 C CNN +F 3 "" H 2750 4250 50 0001 C CNN + 1 2750 4250 + 0 1 1 0 +$EndComp +$Comp +L R R? +U 1 1 5AD66CA9 +P 8350 3450 +F 0 "R?" V 8430 3450 50 0000 C CNN +F 1 "100" V 8350 3450 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" V 8280 3450 50 0001 C CNN +F 3 "" H 8350 3450 50 0001 C CNN + 1 8350 3450 + 0 1 1 0 +$EndComp +$Comp +L R R? +U 1 1 5AD66CAF +P 8350 3600 +F 0 "R?" V 8430 3600 50 0000 C CNN +F 1 "100" V 8350 3600 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" V 8280 3600 50 0001 C CNN +F 3 "" H 8350 3600 50 0001 C CNN + 1 8350 3600 + 0 1 1 0 +$EndComp +$Comp +L R R? +U 1 1 5AD66CB5 +P 8350 3750 +F 0 "R?" V 8430 3750 50 0000 C CNN +F 1 "100" V 8350 3750 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" V 8280 3750 50 0001 C CNN +F 3 "" H 8350 3750 50 0001 C CNN + 1 8350 3750 + 0 1 1 0 +$EndComp +$Comp +L R R? +U 1 1 5AD66CBB +P 8350 3900 +F 0 "R?" V 8430 3900 50 0000 C CNN +F 1 "100" V 8350 3900 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" V 8280 3900 50 0001 C CNN +F 3 "" H 8350 3900 50 0001 C CNN + 1 8350 3900 + 0 1 1 0 +$EndComp +$Comp +L R R? +U 1 1 5AD66CC7 +P 8350 4200 +F 0 "R?" V 8430 4200 50 0000 C CNN +F 1 "100" V 8350 4200 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" V 8280 4200 50 0001 C CNN +F 3 "" H 8350 4200 50 0001 C CNN + 1 8350 4200 + 0 1 1 0 +$EndComp +$Comp +L R R? +U 1 1 5AD66CCD +P 8350 4350 +F 0 "R?" V 8430 4350 50 0000 C CNN +F 1 "100" V 8350 4350 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" V 8280 4350 50 0001 C CNN +F 3 "" H 8350 4350 50 0001 C CNN + 1 8350 4350 + 0 1 1 0 +$EndComp +$Comp +L R R? +U 1 1 5AD66CC1 +P 8350 4050 +F 0 "R?" V 8430 4050 50 0000 C CNN +F 1 "100" V 8350 4050 50 0000 C CNN +F 2 "Resistors_SMD:R_0603" V 8280 4050 50 0001 C CNN +F 3 "" H 8350 4050 50 0001 C CNN + 1 8350 4050 + 0 1 1 0 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5AD6A6C1 +P 7950 2800 +F 0 "#PWR?" H 7950 2550 50 0001 C CNN +F 1 "GNDA" H 7950 2650 50 0000 C CNN +F 2 "" H 7950 2800 50 0001 C CNN +F 3 "" H 7950 2800 50 0001 C CNN + 1 7950 2800 + -1 0 0 1 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5AD6A80D +P 8200 2800 +F 0 "#PWR?" H 8200 2550 50 0001 C CNN +F 1 "GNDA" H 8200 2650 50 0000 C CNN +F 2 "" H 8200 2800 50 0001 C CNN +F 3 "" H 8200 2800 50 0001 C CNN + 1 8200 2800 + -1 0 0 1 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5AD6A87B +P 7950 4900 +F 0 "#PWR?" H 7950 4650 50 0001 C CNN +F 1 "GNDA" H 7950 4750 50 0000 C CNN +F 2 "" H 7950 4900 50 0001 C CNN +F 3 "" H 7950 4900 50 0001 C CNN + 1 7950 4900 + 1 0 0 -1 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5AD6A94C +P 8200 4900 +F 0 "#PWR?" H 8200 4650 50 0001 C CNN +F 1 "GNDA" H 8200 4750 50 0000 C CNN +F 2 "" H 8200 4900 50 0001 C CNN +F 3 "" H 8200 4900 50 0001 C CNN + 1 8200 4900 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5AD6D64B +P 3250 4500 +F 0 "C?" H 3275 4600 50 0000 L CNN +F 1 "1" H 3275 4400 50 0000 L CNN +F 2 "Capacitors_SMD:C_0603" H 3288 4350 50 0001 C CNN +F 3 "" H 3250 4500 50 0001 C CNN + 1 3250 4500 + 1 0 0 -1 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5AD6D7D7 +P 3800 4900 +F 0 "#PWR?" H 3800 4650 50 0001 C CNN +F 1 "GNDA" H 3800 4750 50 0000 C CNN +F 2 "" H 3800 4900 50 0001 C CNN +F 3 "" H 3800 4900 50 0001 C CNN + 1 3800 4900 + 1 0 0 -1 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5AD6D848 +P 3000 5350 +F 0 "#PWR?" H 3000 5100 50 0001 C CNN +F 1 "GNDA" H 3000 5200 50 0000 C CNN +F 2 "" H 3000 5350 50 0001 C CNN +F 3 "" H 3000 5350 50 0001 C CNN + 1 3000 5350 + 1 0 0 -1 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5AD6D929 +P 2750 5350 +F 0 "#PWR?" H 2750 5100 50 0001 C CNN +F 1 "GNDA" H 2750 5200 50 0000 C CNN +F 2 "" H 2750 5350 50 0001 C CNN +F 3 "" H 2750 5350 50 0001 C CNN + 1 2750 5350 + 1 0 0 -1 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5AD6D99A +P 3000 4700 +F 0 "#PWR?" H 3000 4450 50 0001 C CNN +F 1 "GNDA" H 3000 4550 50 0000 C CNN +F 2 "" H 3000 4700 50 0001 C CNN +F 3 "" H 3000 4700 50 0001 C CNN + 1 3000 4700 + 1 0 0 -1 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5AD6DB5B +P 3250 4700 +F 0 "#PWR?" H 3250 4450 50 0001 C CNN +F 1 "GNDA" H 3250 4550 50 0000 C CNN +F 2 "" H 3250 4700 50 0001 C CNN +F 3 "" H 3250 4700 50 0001 C CNN + 1 3250 4700 + 1 0 0 -1 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5AD6ECBC +P 3050 2850 +F 0 "#PWR?" H 3050 2600 50 0001 C CNN +F 1 "GNDA" H 3050 2700 50 0000 C CNN +F 2 "" H 3050 2850 50 0001 C CNN +F 3 "" H 3050 2850 50 0001 C CNN + 1 3050 2850 + -1 0 0 1 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5AD6ED2F +P 2800 2850 +F 0 "#PWR?" H 2800 2600 50 0001 C CNN +F 1 "GNDA" H 2800 2700 50 0000 C CNN +F 2 "" H 2800 2850 50 0001 C CNN +F 3 "" H 2800 2850 50 0001 C CNN + 1 2800 2850 + -1 0 0 1 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5AD6F8BE +P 3700 2550 +F 0 "#PWR?" H 3700 2300 50 0001 C CNN +F 1 "GNDA" H 3700 2400 50 0000 C CNN +F 2 "" H 3700 2550 50 0001 C CNN +F 3 "" H 3700 2550 50 0001 C CNN + 1 3700 2550 + -1 0 0 1 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5AD70663 +P 5550 1500 +F 0 "#PWR?" H 5550 1250 50 0001 C CNN +F 1 "GNDA" H 5550 1350 50 0000 C CNN +F 2 "" H 5550 1500 50 0001 C CNN +F 3 "" H 5550 1500 50 0001 C CNN + 1 5550 1500 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 4100 4150 3850 4150 +Wire Wire Line + 7300 4650 7000 4650 +Wire Wire Line + 4100 3250 3850 3250 +Wire Wire Line + 4100 3650 2900 3650 +Wire Wire Line + 4100 3750 2900 3750 +Wire Wire Line + 2900 3750 2900 3800 +Wire Wire Line + 4100 3850 2900 3850 +Wire Wire Line + 2900 3850 2900 3950 +Wire Wire Line + 4100 3950 3100 3950 +Wire Wire Line + 3100 3950 3100 4100 +Wire Wire Line + 3100 4100 2900 4100 +Wire Wire Line + 4100 4050 3300 4050 +Wire Wire Line + 3300 4050 3300 4250 +Wire Wire Line + 3300 4250 2900 4250 +Wire Wire Line + 2600 3650 2250 3650 +Wire Wire Line + 2250 3650 2250 3250 +Wire Wire Line + 2250 3250 950 3250 +Wire Wire Line + 2600 3800 2150 3800 +Wire Wire Line + 2150 3800 2150 3350 +Wire Wire Line + 2150 3350 950 3350 +Wire Wire Line + 2600 3950 2050 3950 +Wire Wire Line + 2050 3950 2050 3450 +Wire Wire Line + 2050 3450 950 3450 +Wire Wire Line + 2600 4100 1950 4100 +Wire Wire Line + 1950 4100 1950 3550 +Wire Wire Line + 1950 3550 950 3550 +Wire Wire Line + 2600 4250 1850 4250 +Wire Wire Line + 1850 4250 1850 3650 +Wire Wire Line + 1850 3650 950 3650 +Wire Wire Line + 7400 3450 8200 3450 +Wire Wire Line + 8500 3450 9050 3450 +Wire Wire Line + 7000 3850 7400 3850 +Wire Wire Line + 7400 3850 7400 3450 +Wire Wire Line + 7000 3950 7500 3950 +Wire Wire Line + 7500 3950 7500 3600 +Wire Wire Line + 7500 3600 8200 3600 +Wire Wire Line + 7000 4050 7650 4050 +Wire Wire Line + 7650 4050 7650 3750 +Wire Wire Line + 7650 3750 8200 3750 +Wire Wire Line + 7000 4150 7750 4150 +Wire Wire Line + 7750 4150 7750 3900 +Wire Wire Line + 7750 3900 8200 3900 +Wire Wire Line + 7000 4250 7900 4250 +Wire Wire Line + 7900 4250 7900 4050 +Wire Wire Line + 7900 4050 8200 4050 +Wire Wire Line + 7000 4350 8000 4350 +Wire Wire Line + 8000 4350 8000 4200 +Wire Wire Line + 8000 4200 8200 4200 +Wire Wire Line + 7000 4450 8100 4450 +Wire Wire Line + 8100 4450 8100 4350 +Wire Wire Line + 8100 4350 8200 4350 +Wire Wire Line + 9050 3450 9050 3850 +Wire Wire Line + 9050 3850 9450 3850 +Wire Wire Line + 8500 3600 8950 3600 +Wire Wire Line + 8950 3600 8950 3950 +Wire Wire Line + 8950 3950 9450 3950 +Wire Wire Line + 8500 3750 8850 3750 +Wire Wire Line + 8850 3750 8850 4050 +Wire Wire Line + 8850 4050 9450 4050 +Wire Wire Line + 8500 3900 8750 3900 +Wire Wire Line + 8750 3900 8750 4150 +Wire Wire Line + 8750 4150 9450 4150 +Wire Wire Line + 8500 4050 8650 4050 +Wire Wire Line + 8650 4050 8650 4250 +Wire Wire Line + 8650 4250 9450 4250 +Wire Wire Line + 8500 4200 8600 4200 +Wire Wire Line + 8600 4200 8600 4350 +Wire Wire Line + 8600 4350 9450 4350 +Wire Wire Line + 8500 4350 8500 4450 +Wire Wire Line + 8500 4450 9450 4450 +Wire Wire Line + 7000 3750 7350 3750 +Wire Wire Line + 7350 3750 7350 3350 +Wire Wire Line + 7350 3350 9100 3350 +Wire Wire Line + 9100 3350 9100 3750 +Wire Wire Line + 9100 3750 9450 3750 +Wire Wire Line + 7000 3650 7300 3650 +Wire Wire Line + 7300 3650 7300 3300 +Wire Wire Line + 7300 3300 9150 3300 +Wire Wire Line + 9150 3300 9150 3650 +Wire Wire Line + 9150 3650 9450 3650 +Wire Wire Line + 9450 3550 9250 3550 +Wire Wire Line + 9250 3550 9250 3250 +Wire Wire Line + 9250 3250 7250 3250 +Wire Wire Line + 7250 3250 7250 3550 +Wire Wire Line + 7250 3550 7000 3550 +Wire Wire Line + 7000 3450 7200 3450 +Wire Wire Line + 7200 3450 7200 3200 +Wire Wire Line + 7200 3200 9300 3200 +Wire Wire Line + 9300 3200 9300 3450 +Wire Wire Line + 9300 3450 9450 3450 +Wire Wire Line + 9450 3350 9350 3350 +Wire Wire Line + 9350 3350 9350 3150 +Wire Wire Line + 7150 3150 7150 3350 +Wire Wire Line + 7150 3350 7000 3350 +Wire Wire Line + 7100 3250 7000 3250 +Wire Wire Line + 7100 3000 7100 3250 +Wire Wire Line + 7100 3000 7350 3000 +Wire Wire Line + 9350 3150 7150 3150 +Connection ~ 7950 3150 +Connection ~ 8200 3150 +Wire Wire Line + 7000 4550 9450 4550 +Connection ~ 7950 4550 +Connection ~ 8200 4550 +Wire Wire Line + 7000 3150 7000 2600 +Wire Wire Line + 7000 2600 9400 2600 +Wire Wire Line + 9400 2600 9400 3150 +Wire Wire Line + 9400 3150 9450 3150 +Wire Wire Line + 7950 2800 7950 2850 +Wire Wire Line + 8200 2800 8200 2850 +Wire Wire Line + 7950 4850 7950 4900 +Wire Wire Line + 8200 4850 8200 4900 +Wire Wire Line + 4800 6350 4800 5350 +Wire Wire Line + 4900 5350 4900 6350 +Wire Wire Line + 5000 6350 5000 5350 +Wire Wire Line + 5100 5350 5100 6350 +Wire Wire Line + 5200 6350 5200 5350 +Wire Wire Line + 5300 5350 5300 6350 +Wire Wire Line + 5400 5350 5400 6350 +Wire Wire Line + 5500 6350 5500 5350 +Wire Wire Line + 5600 5350 5600 6350 +Wire Wire Line + 5700 6350 5700 5350 +Wire Wire Line + 5800 5350 5800 6350 +Wire Wire Line + 5900 6350 5900 5350 +Wire Wire Line + 6000 5350 6000 6350 +Wire Wire Line + 6100 6350 6100 5350 +Wire Wire Line + 6200 6350 6200 5350 +Wire Wire Line + 6300 5350 6300 6350 +Wire Wire Line + 3650 4550 4100 4550 +Wire Wire Line + 3250 4700 3250 4650 +Wire Wire Line + 3000 4700 3000 4650 +Wire Wire Line + 4000 4650 4000 4900 +Wire Wire Line + 4000 4650 4100 4650 +Wire Wire Line + 3800 4850 3800 4900 +Wire Wire Line + 4100 4450 3600 4450 +Wire Wire Line + 3600 4450 3600 5050 +Wire Wire Line + 3600 5050 2650 5050 +Connection ~ 3000 5050 +Wire Wire Line + 4100 4250 3900 4250 +Wire Wire Line + 3900 4250 3900 4350 +Wire Wire Line + 3900 4350 1750 4350 +Connection ~ 3250 4350 +Wire Wire Line + 1750 4350 1750 3850 +Wire Wire Line + 1750 3850 950 3850 +Connection ~ 3000 4350 +Wire Wire Line + 4100 4350 4000 4350 +Wire Wire Line + 4000 4350 4000 4400 +Wire Wire Line + 4000 4400 3500 4400 +Wire Wire Line + 3500 4400 3500 4950 +Wire Wire Line + 3500 4950 2750 4950 +Wire Wire Line + 2750 4950 2750 4450 +Wire Wire Line + 2750 4450 1600 4450 +Wire Wire Line + 1600 4450 1600 3950 +Wire Wire Line + 1600 3950 950 3950 +Wire Wire Line + 2650 5050 2650 4550 +Wire Wire Line + 2650 4550 1450 4550 +Wire Wire Line + 1450 4550 1450 4050 +Wire Wire Line + 1450 4050 950 4050 +Connection ~ 2750 5050 +Wire Wire Line + 3650 4550 3650 5700 +Wire Wire Line + 3650 5700 2550 5700 +Wire Wire Line + 2550 5700 2550 4750 +Wire Wire Line + 2550 4750 1300 4750 +Wire Wire Line + 1300 4750 1300 4150 +Wire Wire Line + 1300 4150 950 4150 +Connection ~ 3800 4550 +Wire Wire Line + 4100 3550 2400 3550 +Wire Wire Line + 2400 3550 2400 3150 +Wire Wire Line + 2400 3150 950 3150 +Wire Wire Line + 4100 3450 2500 3450 +Wire Wire Line + 2500 3450 2500 3050 +Wire Wire Line + 2500 3050 950 3050 +Wire Wire Line + 4100 3350 3250 3350 +Wire Wire Line + 3250 3350 3250 3200 +Wire Wire Line + 3250 3200 2600 3200 +Connection ~ 3050 3200 +Wire Wire Line + 2600 3200 2600 2950 +Wire Wire Line + 2600 2950 950 2950 +Connection ~ 2800 3200 +Wire Wire Line + 2800 2850 2800 2900 +Wire Wire Line + 3050 2850 3050 2900 +Wire Wire Line + 4100 3150 3900 3150 +Wire Wire Line + 3900 3150 3900 2900 +Wire Wire Line + 3900 2900 3300 2900 +Wire Wire Line + 3300 2900 3300 2550 +Wire Wire Line + 3300 2550 2550 2550 +Connection ~ 3700 2900 +Wire Wire Line + 3700 2550 3700 2600 +Wire Wire Line + 2550 2550 2550 2750 +Wire Wire Line + 2550 2750 950 2750 +Wire Wire Line + 5000 850 5000 2450 +Wire Wire Line + 4900 850 4900 2450 +Wire Wire Line + 4800 850 4800 2450 +Wire Wire Line + 5100 850 5100 2450 +Wire Wire Line + 5550 1500 5500 1500 +Wire Wire Line + 5200 850 5200 2450 +Connection ~ 5200 1500 +$Comp +L C C? +U 1 1 5AD71469 +P 5450 1800 +F 0 "C?" H 5475 1900 50 0000 L CNN +F 1 "10" H 5475 1700 50 0000 L CNN +F 2 "Capacitors_SMD:C_0805" H 5488 1650 50 0001 C CNN +F 3 "" H 5450 1800 50 0001 C CNN + 1 5450 1800 + 0 1 1 0 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5AD7146F +P 5650 1800 +F 0 "#PWR?" H 5650 1550 50 0001 C CNN +F 1 "GNDA" H 5650 1650 50 0000 C CNN +F 2 "" H 5650 1800 50 0001 C CNN +F 3 "" H 5650 1800 50 0001 C CNN + 1 5650 1800 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 5650 1800 5600 1800 +Wire Wire Line + 5300 1650 5300 2450 +Wire Wire Line + 5300 1650 5800 1650 +Wire Wire Line + 5800 1650 5800 1350 +Wire Wire Line + 5800 1350 5300 1350 +Wire Wire Line + 5300 1350 5300 850 +Connection ~ 5300 1800 +Wire Wire Line + 5400 2450 5400 1950 +Wire Wire Line + 5400 1950 5900 1950 +Wire Wire Line + 5900 1950 5900 1300 +Wire Wire Line + 5900 1300 5400 1300 +Wire Wire Line + 5400 1300 5400 850 +Wire Wire Line + 5500 2450 5500 2000 +Wire Wire Line + 5500 2000 5950 2000 +Wire Wire Line + 5950 2000 5950 1250 +Wire Wire Line + 5950 1250 5500 1250 +Wire Wire Line + 5500 1250 5500 850 +Wire Wire Line + 5600 2450 5600 2050 +Wire Wire Line + 5600 2050 6000 2050 +Wire Wire Line + 6000 2050 6000 1200 +Wire Wire Line + 6000 1200 5600 1200 +Wire Wire Line + 5600 1200 5600 850 +Wire Wire Line + 5700 2450 5700 2100 +Wire Wire Line + 5700 2100 6050 2100 +Wire Wire Line + 6050 2100 6050 1150 +Wire Wire Line + 6050 1150 5700 1150 +Wire Wire Line + 5700 1150 5700 850 +Wire Wire Line + 5800 2450 5800 2150 +Wire Wire Line + 5800 2150 6100 2150 +Wire Wire Line + 6100 2150 6100 1100 +Wire Wire Line + 6100 1100 5800 1100 +Wire Wire Line + 5800 1100 5800 850 +Wire Wire Line + 5900 2450 5900 2200 +Wire Wire Line + 5900 2200 6150 2200 +Wire Wire Line + 6150 2200 6150 1050 +Wire Wire Line + 6150 1050 5900 1050 +Wire Wire Line + 5900 1050 5900 850 +Wire Wire Line + 6000 2450 6000 2250 +Wire Wire Line + 6000 2250 6200 2250 +Wire Wire Line + 6200 2250 6200 1000 +Wire Wire Line + 6200 1000 6000 1000 +Wire Wire Line + 6000 1000 6000 850 +Wire Wire Line + 6100 2450 6100 2300 +Wire Wire Line + 6100 2300 6250 2300 +Wire Wire Line + 6250 2300 6250 950 +Wire Wire Line + 6250 950 6100 950 +Wire Wire Line + 6100 950 6100 850 +Wire Wire Line + 6200 2450 6200 2350 +Wire Wire Line + 6200 2350 6350 2350 +Wire Wire Line + 6350 2350 6350 2300 +Wire Wire Line + 6500 2450 6300 2450 +Wire Wire Line + 6500 850 6500 2450 +Connection ~ 6500 2100 +Wire Wire Line + 6500 850 6300 850 +Connection ~ 6500 1850 +$Comp +L GNDA #PWR? +U 1 1 5AD72648 +P 6850 1850 +F 0 "#PWR?" H 6850 1600 50 0001 C CNN +F 1 "GNDA" H 6850 1700 50 0000 C CNN +F 2 "" H 6850 1850 50 0001 C CNN +F 3 "" H 6850 1850 50 0001 C CNN + 1 6850 1850 + 0 -1 -1 0 +$EndComp +$Comp +L GNDA #PWR? +U 1 1 5AD726B9 +P 6850 2100 +F 0 "#PWR?" H 6850 1850 50 0001 C CNN +F 1 "GNDA" H 6850 1950 50 0000 C CNN +F 2 "" H 6850 2100 50 0001 C CNN +F 3 "" H 6850 2100 50 0001 C CNN + 1 6850 2100 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 6800 1850 6850 1850 +Wire Wire Line + 6800 2100 6850 2100 +$EndSCHEMATC -- cgit v1.2.3