From 11f004f9fb42a60a4736ed18f7fe9f7fec9f6635 Mon Sep 17 00:00:00 2001 From: Jonas Suhr Christensen Date: Thu, 10 May 2018 11:29:36 +0200 Subject: doc: fix pin drive in schematicw --- documentation/schematic/dginabox.bck | 372 ----------------------- documentation/schematic/dginabox.lib | 44 +-- documentation/schematic/dginabox.sch | 554 ++++++++++++++++++++++------------- fpga-toolchain/experiments/top.v | 100 ++++--- 4 files changed, 426 insertions(+), 644 deletions(-) diff --git a/documentation/schematic/dginabox.bck b/documentation/schematic/dginabox.bck index 195b338..5f3ed79 100644 --- a/documentation/schematic/dginabox.bck +++ b/documentation/schematic/dginabox.bck @@ -1,375 +1,3 @@ EESchema-DOCLIB Version 2.0 # -$CMP ISO7320C -D Low Power Dual-Channel 2/0 Digital Isolator, 25Mbps 33ns, Fail-Safe High, SO8 -K 2Ch Dual Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7320c&fileType=pdf -$ENDCMP -# -$CMP ISO7320FC -D Low Power Dual-Channel 2/0 Digital Isolator, 25Mbps 33ns, Fail-Safe Low, SO8 -K 2Ch Dual Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7320fc&fileType=pdf -$ENDCMP -# -$CMP ISO7321C -D Low Power Dual-Channel 1/1 Digital Isolator, 25Mbps 33ns, Fail-Safe High, SO8 -K 2Ch Dual Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7321c&fileType=pdf -$ENDCMP -# -$CMP ISO7321FC -D Low Power Dual-Channel 1/1 Digital Isolator, 25Mbps 33ns, Fail-Safe Low, SO8 -K 2Ch Dual Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7321fc&fileType=pdf -$ENDCMP -# -$CMP ISO7340C -D Low Power Quad-Channel 4/0 Digital Isolator, 25Mbps 31ns, Fail-Safe High, SO16 -K 4Ch Quad Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7340c&fileType=pdf -$ENDCMP -# -$CMP ISO7340FC -D Low Power Quad-Channel 4/0 Digital Isolator, 25Mbps 31ns, Fail-Safe Low, SO16 -K 4Ch Quad Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7340fc&fileType=pdf -$ENDCMP -# -$CMP ISO7341C -D Low Power Quad-Channel 3/1 Digital Isolator, 25Mbps 31ns, Fail-Safe High, SO16 -K 4Ch Quad Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7341c&fileType=pdf -$ENDCMP -# -$CMP ISO7341FC -D Low Power Quad-Channel 3/1 Digital Isolator, 25Mbps 31ns, Fail-Safe Low, SO16 -K 4Ch Quad Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7341fc&fileType=pdf -$ENDCMP -# -$CMP ISO7342C -D Low Power Quad-Channel 2/2 Digital Isolator, 25Mbps 31ns, Fail-Safe High, SO16 -K 4Ch Quad Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7342c&fileType=pdf -$ENDCMP -# -$CMP ISO7342FC -D Low Power Quad-Channel 2/2 Digital Isolator, 25Mbps 31ns, Fail-Safe Low, SO16 -K 4Ch Quad Digital Isolator 25Mbps -F http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=iso7342fc&fileType=pdf -$ENDCMP -# -$CMP LM3S6911-EQC50 -D Ti Stellaris ARM-M3 MCU Ethernet PHY, LQFP100 -K MCU ARM M3 Stellaris PHY ETH NRND -F http://www.ti.com/lit/ds/symlink/lm3s6911.pdf -$ENDCMP -# -$CMP LM3S6911-IQC50 -D Ti Stellaris ARM-M3 MCU Ethernet PHY, Industrial grade, LQFP100 -K MCU ARM M3 Stellaris PHY ETH NRND -F http://www.ti.com/lit/ds/symlink/lm3s6911.pdf -$ENDCMP -# -$CMP LM4F110B2QR -D Replaced by TM4C1231C3PM, LQFP64 -K ARM Stellaris Cortex M4 MCU NRND -F http://www.ti.com/lit/ds/symlink/tm4c1231c3pm.pdf -$ENDCMP -# -$CMP LM4F110C4QR -D Replaced by TM4C1231D5PM, LQFP64 -K ARM Stellaris Cortex M4 MCU NRND -F http://www.ti.com/lit/ds/symlink/tm4c1231d5pm.pdf -$ENDCMP -# -$CMP LM4F110E5QR -D Replaced by TM4C1231E6PM, LQFP64 -K ARM Stellaris Cortex M4 MCU NRND -F http://www.ti.com/lit/ds/symlink/tm4c1231e6pm.pdf -$ENDCMP -# -$CMP LM4F110H5QR -D Replaced by TM4C1231H6PM, LQFP64 -K ARM Stellaris Cortex M4 MCU NRND -F http://www.ti.com/lit/ds/symlink/tm4c1231h6pm.pdf -$ENDCMP -# -$CMP LM4F111B2QR -D Replaced by TM4C1230C3PM, LQFP64 -K ARM Stellaris Cortex M4 MCU NRND -F http://www.ti.com/lit/ds/symlink/tm4c1230c3pm.pdf -$ENDCMP -# -$CMP LM4F111C4QR -D Replaced by TM4C1230D5PM, LQFP64 -K ARM Stellaris Cortex M4 MCU NRND -F http://www.ti.com/lit/ds/symlink/tm4c1230d5pm.pdf -$ENDCMP -# -$CMP LM4F111E5QR -D Replaced by TM4C1230E6PM, LQFP64 -K ARM Stellaris Cortex M4 MCU NRND -F http://www.ti.com/lit/ds/symlink/tm4c1230c3pm.pdf -$ENDCMP -# -$CMP LM4F111H5QR -D Relpaced by TM4C1230H6PM, LQFP64 -K ARM Stellaris Cortex M4 MCU NRND -F http://www.ti.com/lit/ds/symlink/tm4c1230h6pm.pdf -$ENDCMP -# -$CMP LM5175PWP -D 42-V wide Vin synchronous 4-switch Buck-Boost controller, HTSSOP-28 package -K Buck Boost step-up step-down -F http://www.ti.com/lit/ds/symlink/lm5175.pdf -$ENDCMP -# -$CMP LM5175RHF -D 42-V wide Vin synchronous 4-switch Buck-Boost controller, QFN-28 package -K Buck Boost step-up step-down -F http://www.ti.com/lit/ds/symlink/lm5175.pdf -$ENDCMP -# -$CMP TCA9555PWR -D 16-bit I/O expander, I2C and SMBus interface, interrupts, TSSOP-24 package -K ti parallel port -F http://www.ti.com/lit/ds/symlink/tca9555.pdf -$ENDCMP -# -$CMP TCA9555RGER -D 16-bit I/O expander, I2C and SMBus interface, interrupts, QFN-24 package -K ti parallel port -F http://www.ti.com/lit/ds/symlink/tca9555.pdf -$ENDCMP -# -$CMP TCA9555RTWR -D 16-bit I/O expander, I2C and SMBus interface, interrupts, QFN-24 package -K ti parallel port -F http://www.ti.com/lit/ds/symlink/tca9555.pdf -$ENDCMP -# -$CMP TLC555 -D CMOS Timer -K Timer NE555 -F http://www.ti.com/lit/ds/symlink/tlc555.pdf -$ENDCMP -# -$CMP TLC5940NT -D 16-Channel LED Driver With DOT Correction and Grayscale PWM Control, DIP package -K PWM LED driver -F http://www.ti.com/lit/ds/symlink/tlc5940.pdf -$ENDCMP -# -$CMP TLC5940PWP -D 16-Channel LED Driver With DOT Correction and Grayscale PWM Control, TSSOP package with thermal pad -K PWM LED driver -F http://www.ti.com/lit/ds/symlink/tlc5940.pdf -$ENDCMP -# -$CMP TLC5973 -D 3 Channel 12bit PWM constant currend LED Driver with single wire interface -K LED current driver 12bit PWM -F http://www.ti.com/lit/ds/symlink/tlc5973.pdf -$ENDCMP -# -$CMP TM4C1230C3PM -D Tiva ARM 32bit CortexM4 Microcotroller, 80MHz, 32kB Flash, 12kB SRAM, 2k EEPROM, LQFP64 -K ARM Tiva Cortex M4 MCU -F http://www.ti.com/lit/ds/symlink/tm4c1230c3pm.pdf -$ENDCMP -# -$CMP TM4C1230D5PM -D Tiva ARM 32bit CortexM4 Microcotroller, 80MHz, 64kB Flash, 24kB SRAM, 2k EEPROM, LQFP64 -K ARM Tiva Cortex M4 MCU -F http://www.ti.com/lit/ds/symlink/tm4c1230d5pm.pdf -$ENDCMP -# -$CMP TM4C1230E6PM -D Tiva ARM 32bit CortexM4 Microcotroller, 80MHz, 128kB Flash, 32kB SRAM, 2k EEPROM, LQFP64 -K ARM Tiva Cortex M4 MCU -F http://www.ti.com/lit/ds/symlink/tm4c1230e6pm.pdf -$ENDCMP -# -$CMP TM4C1230H6PM -D Tiva ARM 32bit CortexM4 Microcotroller, 80MHz, 256kB Flash, 32kB SRAM, 2k EEPROM, LQFP64 -K ARM Tiva Cortex M4 MCU -F http://www.ti.com/lit/ds/symlink/tm4c1230h6pm.pdf -$ENDCMP -# -$CMP TM4C1231C3PM -D Tiva ARM 32bit CortexM4 Microcotroller, 80MHz, 32kB Flash, 12kB SRAM, 2k EEPROM, LQFP64 -K ARM Tiva Cortex M4 MCU -F http://www.ti.com/lit/ds/symlink/tm4c1231c3pm.pdf -$ENDCMP -# -$CMP TM4C1231D5PM -D Tiva ARM 32bit CortexM4 Microcotroller, 80MHz, 64kB Flash, 24kB SRAM, 2k EEPROM, LQFP64 -K ARM Tiva Cortex M4 MCU -F http://www.ti.com/lit/ds/symlink/tm4c1231d5pm.pdf -$ENDCMP -# -$CMP TM4C1231E6PM -D Tiva ARM 32bit CortexM4 Microcotroller, 80MHz, 128kB Flash, 32kB SRAM, 2k EEPROM, LQFP64 -K ARM Tiva Cortex M4 MCU -F http://www.ti.com/lit/ds/symlink/tm4c1231e6pm.pdf -$ENDCMP -# -$CMP TM4C1231H6PM -D Tiva ARM 32bit CortexM4 Microcotroller, 80MHz, 256kB Flash, 32kB SRAM, 2k EEPROM, LQFP64 -K ARM Tiva Cortex M4 MCU -F http://www.ti.com/lit/ds/symlink/tm4c1231h6pm.pdf -$ENDCMP -# -$CMP TMS470R1B768 -D ARM7TDM Microcontroller, 768KB Flash, 48KB RAM, PQFP-144 -K ARM 7TDM uC TMS470 -F http://www.ti.com/lit/ds/symlink/tms470r1b768.pdf -$ENDCMP -# -$CMP TPS2041B -D Single power-distribution switcher -K 1-chanel power-distribution USB -F http://www.ti.com/lit/ds/symlink/tps2041.pdf -$ENDCMP -# -$CMP TPS2042D -D Dual power-distribution switcher -K 2-chanel power-distribution USB -F http://www.ti.com/lit/ds/symlink/tps2042.pdf -$ENDCMP -# -$CMP TPS2044D -D Quad power-distribution switcher -K 4-chanel power-distribution USB -F http://www.ti.com/lit/ds/symlink/tps2044.pdf -$ENDCMP -# -$CMP TPS2054D -D Quad power-distribution switcher -K 4-chanel power-distribution USB -F http://www.ti.com/lit/ds/symlink/tps2044.pdf -$ENDCMP -# -$CMP TPS2513 -D USB Dedicated Charging Port Controller -K USB Charge -F http://www.ti.com/lit/ds/symlink/tps2513.pdf -$ENDCMP -# -$CMP TPS2513A -D USB Dedicated Charging Port Controller -K USB Charge -F http://www.ti.com/lit/ds/symlink/tps2513.pdf -$ENDCMP -# -$CMP TPS2514 -D USB Dedicated Charging Port Controller -K USB Charge -F http://www.ti.com/lit/ds/symlink/tps2513.pdf -$ENDCMP -# -$CMP TPS2514A -D USB Dedicated Charging Port Controller -K USB Charge -F http://www.ti.com/lit/ds/symlink/tps2513.pdf -$ENDCMP -# -$CMP TPS2560 -D Dual channel precision adjustable current-limited power switches -K power switche current limited usb port -F http://www.ti.com/lit/ds/symlink/tps2560.pdf -$ENDCMP -# -$CMP TPS2561 -D Dual channel precision adjustable current-limited power switches -K power switche current limited usb port -F http://www.ti.com/lit/ds/symlink/tps2561.pdf -$ENDCMP -# -$CMP TPS562200 -D 4.5 to 17V input, 2A synchronous step-down voltage regulator -K step-down dcdc voltage regulator -F http://www.ti.com/lit/ds/symlink/tps563200.pdf -$ENDCMP -# -$CMP TPS563200 -D 4.5 to 17V input, 3A synchronous step-down voltage regulator -K step-down dcdc voltage regulator -F http://www.ti.com/lit/ds/symlink/tps563200.pdf -$ENDCMP -# -$CMP TPS62130 -D 3-17V 3A Step-down converter in 3x3 QFN package -K step-down dcdc converter -F http://www.ti.com/lit/ds/symlink/tps62130.pdf -$ENDCMP -# -$CMP TPS62132 -D 3-17V, 3A step-down converter -K step down dcdc converter -F http://www.ti.com/lit/ds/symlink/tps62130.pdf -$ENDCMP -# -$CMP TS5A3159 -D 1-Ohm SPDT Analog Switch, Break-Before-Make -K SDPT Switch -F http://www.ti.com/lit/ds/symlink/ts5a3159.pdf -$ENDCMP -# -$CMP TS5A3160 -D 1-Ohm SPDT Analog Switch, Make-Before-Break -K SDPT Switch -F http://www.ti.com/lit/ds/symlink/ts5a3160.pdf -$ENDCMP -# -$CMP TUSB2036 -D 2- or 3-Port USB1.1 HUB with optional serial EEPROM -K 2-Port, 3-Port, 3.3V, EEPROM, Full Speed, Hub, Texas Instruments, USB1.1 -F http://www.ti.com/lit/ds/symlink/tusb2036.pdf -$ENDCMP -# -$CMP TUSB4041I -D four port USB 2.0 Hub -K USB2.0 hub -F http://www.ti.com/lit/ds/symlink/tusb4041i.pdf -$ENDCMP -# -$CMP TUSB8041 -D four port USB 3.0 Hub -K USB3.0 hub -F http://www.ti.com/lit/ds/symlink/tusb8041.pdf -$ENDCMP -# -$CMP UCC2891 -D SMPS Current-Mode Active Clamp PWM Controller, SOIC-16/TSSOP-16 -K SMPS PWM Controller -F http://www.ti.com/lit/ds/symlink/ucc2891.pdf -$ENDCMP -# -$CMP UCC2892 -D SMPS Current-Mode Active Clamp PWM Controller, SOIC-16/TSSOP-16 -K SMPS PWM Controller -F http://www.ti.com/lit/ds/symlink/ucc2892.pdf -$ENDCMP -# -$CMP UCC2893 -D SMPS Current-Mode Active Clamp PWM Controller, SOIC-16/TSSOP-16 -K SMPS PWM Controller -F http://www.ti.com/lit/ds/symlink/ucc2893.pdf -$ENDCMP -# -$CMP UCC2894 -D SMPS Current-Mode Active Clamp PWM Controller, SOIC-16/TSSOP-16 -K SMPS PWM Controller -F http://www.ti.com/lit/ds/symlink/ucc2894.pdf -$ENDCMP -# -$CMP UCC2897 -D SMPS Advanced Current-Mode Active Clamp PWM Controller, TSSOP-20/QFN-20 -K SMPS PWM Controller -F http://www.ti.com/lit/ds/symlink/ucc2897.pdf -$ENDCMP -# #End Doc Library diff --git a/documentation/schematic/dginabox.lib b/documentation/schematic/dginabox.lib index b64b591..815882d 100644 --- a/documentation/schematic/dginabox.lib +++ b/documentation/schematic/dginabox.lib @@ -10,12 +10,12 @@ F2 "" -150 -250 60 H I C CNN F3 "" -150 -250 60 H I C CNN DRAW S -1250 -1250 1250 1250 0 0 5 N -X VCOMAD 1 -1450 750 200 R 50 50 1 1 U -X AGNDAD2 2 -1450 650 200 R 50 50 1 1 U -X VCCAD2 3 -1450 550 200 R 50 50 1 1 U +X VCOMAD 1 -1450 750 200 R 50 50 1 1 W +X AGNDAD2 2 -1450 650 200 R 50 50 1 1 W +X VCCAD2 3 -1450 550 200 R 50 50 1 1 W X RST 4 -1450 450 200 R 50 50 1 1 I X OVF 5 -1450 350 200 R 50 50 1 1 O -X LRCKAD 6 -1450 250 200 R 50 50 1 1 B +X LRCKAD 6 -1450 250 200 R 50 50 1 1 O X BCKAD 7 -1450 150 200 R 50 50 1 1 B X DOUT1 8 -1450 50 200 R 50 50 1 1 O X DOUT2 9 -1450 -50 200 R 50 50 1 1 O @@ -23,46 +23,46 @@ X DOUT3 10 -1450 -150 200 R 50 50 1 1 O X VOUT7- 20 -450 -1450 200 U 50 50 1 1 O X VOUT2- 30 550 -1450 200 U 50 50 1 1 O X DIN4 40 1450 -50 200 L 50 50 1 1 I -X AGNDAD1 50 650 1450 200 D 50 50 1 1 U -X VREFAD2 60 -350 1450 200 D 50 50 1 1 U -X DGND2 11 -1450 -250 200 R 50 50 1 1 U +X AGNDAD1 50 650 1450 200 D 50 50 1 1 W +X VREFAD2 60 -350 1450 200 D 50 50 1 1 W +X DGND2 11 -1450 -250 200 R 50 50 1 1 W X VOUT6+ 21 -350 -1450 200 U 50 50 1 1 O X VOUT1+ 31 650 -1450 200 U 50 50 1 1 O X SCKI 41 1450 50 200 L 50 50 1 1 I X VIN1- 51 550 1450 200 D 50 50 1 1 I X VIN5- 61 -450 1450 200 D 50 50 1 1 I -X VDD2 12 -1450 -350 200 R 50 50 1 1 U +X VDD2 12 -1450 -350 200 R 50 50 1 1 W X VOUT6- 22 -250 -1450 200 U 50 50 1 1 O X VOUT1- 32 750 -1450 200 U 50 50 1 1 O -X MC/SCL/FMT 42 1450 150 200 L 50 50 1 1 B +X MC/SCL/FMT 42 1450 150 200 L 50 50 1 1 I X VIN1+ 52 450 1450 200 D 50 50 1 1 I X VIN5+ 62 -550 1450 200 D 50 50 1 1 I X ZERO 13 -1450 -450 200 R 50 50 1 1 O X VOUT5+ 23 -150 -1450 200 U 50 50 1 1 O -X AGNDDA2 33 1450 -750 200 L 50 50 1 1 U -X MDI/SDA/DEMP 43 1450 250 200 L 50 50 1 1 B +X AGNDDA2 33 1450 -750 200 L 50 50 1 1 W +X MDI/SDA/DEMP 43 1450 250 200 L 50 50 1 1 I X VIN2- 53 350 1450 200 D 50 50 1 1 I X VIN6- 63 -650 1450 200 D 50 50 1 1 I -X VCCDA1 14 -1450 -550 200 R 50 50 1 1 U +X VCCDA1 14 -1450 -550 200 R 50 50 1 1 W X VOUT5- 24 -50 -1450 200 U 50 50 1 1 O -X VCCDA2 34 1450 -650 200 L 50 50 1 1 U -X MD0/ADR1/MD1 44 1450 350 200 L 50 50 1 1 B +X VCCDA2 34 1450 -650 200 L 50 50 1 1 W +X MD0/ADR1/MD1 44 1450 350 200 L 50 50 1 1 I X VIN2+ 54 250 1450 200 D 50 50 1 1 I X VIN6+ 64 -750 1450 200 D 50 50 1 1 I -X VCOMDA1 15 -1450 -650 200 R 50 50 1 1 U +X VCOMDA1 15 -1450 -650 200 R 50 50 1 1 W X VOUT4+ 25 50 -1450 200 U 50 50 1 1 O -X LRCKDA 35 1450 -550 200 L 50 50 1 1 B +X LRCKDA 35 1450 -550 200 L 50 50 1 1 I X MS/ADR0/MD0 45 1450 450 200 L 50 50 1 1 I X VIN3- 55 150 1450 200 D 50 50 1 1 I -X AGNDDA1 16 -1450 -750 200 R 50 50 1 1 U +X AGNDDA1 16 -1450 -750 200 R 50 50 1 1 W X VOUT4- 26 150 -1450 200 U 50 50 1 1 O -X BCKDA 36 1450 -450 200 L 50 50 1 1 B -X VDD1 46 1450 550 200 L 50 50 1 1 U +X BCKDA 36 1450 -450 200 L 50 50 1 1 I +X VDD1 46 1450 550 200 L 50 50 1 1 W X VIN3+ 56 50 1450 200 D 50 50 1 1 I X VOUT8+ 17 -750 -1450 200 U 50 50 1 1 O X VOUT3+ 27 250 -1450 200 U 50 50 1 1 O X DIN1 37 1450 -350 200 L 50 50 1 1 I -X DGND1 47 1450 650 200 L 50 50 1 1 U +X DGND1 47 1450 650 200 L 50 50 1 1 W X VIN4- 57 -50 1450 200 D 50 50 1 1 I X VOUT8- 18 -650 -1450 200 U 50 50 1 1 O X VOUT3- 28 350 -1450 200 U 50 50 1 1 O @@ -72,8 +72,8 @@ X VIN4+ 58 -150 1450 200 D 50 50 1 1 I X VOUT7+ 19 -550 -1450 200 U 50 50 1 1 O X VOUT2+ 29 450 -1450 200 U 50 50 1 1 O X DIN3 39 1450 -150 200 L 50 50 1 1 I -X VCCAD1 49 750 1450 200 D 50 50 1 1 U -X VREFAD1 59 -250 1450 200 D 50 50 1 1 U +X VCCAD1 49 750 1450 200 D 50 50 1 1 W +X VREFAD1 59 -250 1450 200 D 50 50 1 1 W ENDDRAW ENDDEF # diff --git a/documentation/schematic/dginabox.sch b/documentation/schematic/dginabox.sch index 326c200..80ca5f0 100644 --- a/documentation/schematic/dginabox.sch +++ b/documentation/schematic/dginabox.sch @@ -46,21 +46,10 @@ Comment3 "" Comment4 "" $EndDescr $Comp -L PCM3168A U? -U 1 1 5A95B6EC -P 5550 3900 -F 0 "U?" H 5500 3800 60 0000 C CNN -F 1 "PCM3168A" H 5550 3900 60 0000 C CNN -F 2 "Housings_QFP:HTQFP-64-1EP_10x10mm_Pitch0.5mm_ThermalPad" H 5400 3650 60 0001 C CNN -F 3 "http://www.ti.com/lit/ds/symlink/pcm3168a-q1.pdf" H 5400 3650 60 0001 C CNN - 1 5550 3900 - 1 0 0 -1 -$EndComp -$Comp -L Conn_01x16 J? +L Conn_01x16 J4 U 1 1 5ACCF51C P 9650 3950 -F 0 "J?" H 9650 4750 50 0000 C CNN +F 0 "J4" H 9650 4750 50 0000 C CNN F 1 "Conn_01x16" H 9650 3050 50 0000 C CNN F 2 "Pin_Headers:Pin_Header_Straight_1x16_Pitch2.54mm" H 9650 3950 50 0001 C CNN F 3 "" H 9650 3950 50 0001 C CNN @@ -68,10 +57,10 @@ F 3 "" H 9650 3950 50 0001 C CNN 1 0 0 1 $EndComp $Comp -L Conn_01x16 J? +L Conn_01x16 J1 U 1 1 5ACCF8AB P 750 3450 -F 0 "J?" H 750 4250 50 0000 C CNN +F 0 "J1" H 750 4250 50 0000 C CNN F 1 "Conn_01x16" H 750 2550 50 0000 C CNN F 2 "Pin_Headers:Pin_Header_Straight_1x16_Pitch2.54mm" H 750 3450 50 0001 C CNN F 3 "" H 750 3450 50 0001 C CNN @@ -79,10 +68,10 @@ F 3 "" H 750 3450 50 0001 C CNN -1 0 0 -1 $EndComp $Comp -L Conn_01x16 J? +L Conn_01x16 J3 U 1 1 5ACCF945 P 5600 650 -F 0 "J?" H 5600 1450 50 0000 C CNN +F 0 "J3" H 5600 1450 50 0000 C CNN F 1 "Conn_01x16" H 5600 -250 50 0000 C CNN F 2 "Pin_Headers:Pin_Header_Straight_1x16_Pitch2.54mm" H 5600 650 50 0001 C CNN F 3 "" H 5600 650 50 0001 C CNN @@ -90,10 +79,10 @@ F 3 "" H 5600 650 50 0001 C CNN 0 1 -1 0 $EndComp $Comp -L Conn_01x16 J? +L Conn_01x16 J2 U 1 1 5ACCF9EA P 5500 6550 -F 0 "J?" H 5500 7350 50 0000 C CNN +F 0 "J2" H 5500 7350 50 0000 C CNN F 1 "Conn_01x16" H 5500 5650 50 0000 C CNN F 2 "Pin_Headers:Pin_Header_Straight_1x16_Pitch2.54mm" H 5500 6550 50 0001 C CNN F 3 "" H 5500 6550 50 0001 C CNN @@ -101,10 +90,10 @@ F 3 "" H 5500 6550 50 0001 C CNN 0 -1 1 0 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR01 U 1 1 5ACCFFE9 P 6350 2300 -F 0 "#PWR?" H 6350 2050 50 0001 C CNN +F 0 "#PWR01" H 6350 2050 50 0001 C CNN F 1 "GNDA" H 6350 2150 50 0000 C CNN F 2 "" H 6350 2300 50 0001 C CNN F 3 "" H 6350 2300 50 0001 C CNN @@ -112,10 +101,10 @@ F 3 "" H 6350 2300 50 0001 C CNN -1 0 0 1 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR02 U 1 1 5ACD0095 P 3850 3250 -F 0 "#PWR?" H 3850 3000 50 0001 C CNN +F 0 "#PWR02" H 3850 3000 50 0001 C CNN F 1 "GNDA" H 3850 3100 50 0000 C CNN F 2 "" H 3850 3250 50 0001 C CNN F 3 "" H 3850 3250 50 0001 C CNN @@ -123,21 +112,21 @@ F 3 "" H 3850 3250 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR03 U 1 1 5ACD010C -P 4000 4900 -F 0 "#PWR?" H 4000 4650 50 0001 C CNN -F 1 "GNDA" H 4000 4750 50 0000 C CNN -F 2 "" H 4000 4900 50 0001 C CNN -F 3 "" H 4000 4900 50 0001 C CNN - 1 4000 4900 +P 4100 4900 +F 0 "#PWR03" H 4100 4650 50 0001 C CNN +F 1 "GNDA" H 4100 4750 50 0000 C CNN +F 2 "" H 4100 4900 50 0001 C CNN +F 3 "" H 4100 4900 50 0001 C CNN + 1 4100 4900 1 0 0 -1 $EndComp $Comp -L GNDD #PWR? +L GNDD #PWR04 U 1 1 5ACD013C P 3850 4150 -F 0 "#PWR?" H 3850 3900 50 0001 C CNN +F 0 "#PWR04" H 3850 3900 50 0001 C CNN F 1 "GNDD" H 3850 4025 50 0000 C CNN F 2 "" H 3850 4150 50 0001 C CNN F 3 "" H 3850 4150 50 0001 C CNN @@ -145,10 +134,10 @@ F 3 "" H 3850 4150 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L GNDD #PWR? +L GNDD #PWR05 U 1 1 5ACD0176 P 7350 3000 -F 0 "#PWR?" H 7350 2750 50 0001 C CNN +F 0 "#PWR05" H 7350 2750 50 0001 C CNN F 1 "GNDD" H 7350 2875 50 0000 C CNN F 2 "" H 7350 3000 50 0001 C CNN F 3 "" H 7350 3000 50 0001 C CNN @@ -156,10 +145,10 @@ F 3 "" H 7350 3000 50 0001 C CNN 0 -1 -1 0 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR06 U 1 1 5ACD01A9 P 7300 4650 -F 0 "#PWR?" H 7300 4400 50 0001 C CNN +F 0 "#PWR06" H 7300 4400 50 0001 C CNN F 1 "GNDA" H 7300 4500 50 0000 C CNN F 2 "" H 7300 4650 50 0001 C CNN F 3 "" H 7300 4650 50 0001 C CNN @@ -167,10 +156,10 @@ F 3 "" H 7300 4650 50 0001 C CNN 0 -1 -1 0 $EndComp $Comp -L C C? +L C C14 U 1 1 5AD6329D P 7950 4700 -F 0 "C?" H 7975 4800 50 0000 L CNN +F 0 "C14" H 7975 4800 50 0000 L CNN F 1 "1" H 7975 4600 50 0000 L CNN F 2 "Capacitors_SMD:C_0603" H 7988 4550 50 0001 C CNN F 3 "" H 7950 4700 50 0001 C CNN @@ -178,10 +167,10 @@ F 3 "" H 7950 4700 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L C C? +L C C8 U 1 1 5AD632E4 P 3800 4700 -F 0 "C?" H 3825 4800 50 0000 L CNN +F 0 "C8" H 3825 4800 50 0000 L CNN F 1 "10" H 3825 4600 50 0000 L CNN F 2 "Capacitors_SMD:C_0805" H 3838 4550 50 0001 C CNN F 3 "" H 3800 4700 50 0001 C CNN @@ -189,10 +178,10 @@ F 3 "" H 3800 4700 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L C C? +L C C1 U 1 1 5AD63483 P 2750 5200 -F 0 "C?" H 2775 5300 50 0000 L CNN +F 0 "C1" H 2775 5300 50 0000 L CNN F 1 "10" H 2775 5100 50 0000 L CNN F 2 "Capacitors_SMD:C_0805" H 2788 5050 50 0001 C CNN F 3 "" H 2750 5200 50 0001 C CNN @@ -200,10 +189,10 @@ F 3 "" H 2750 5200 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L C C? +L C C4 U 1 1 5AD63511 P 3000 5200 -F 0 "C?" H 3025 5300 50 0000 L CNN +F 0 "C4" H 3025 5300 50 0000 L CNN F 1 "1" H 3025 5100 50 0000 L CNN F 2 "Capacitors_SMD:C_0603" H 3038 5050 50 0001 C CNN F 3 "" H 3000 5200 50 0001 C CNN @@ -211,10 +200,10 @@ F 3 "" H 3000 5200 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L C C? +L C C16 U 1 1 5AD635C6 P 8200 4700 -F 0 "C?" H 8225 4800 50 0000 L CNN +F 0 "C16" H 8225 4800 50 0000 L CNN F 1 "10" H 8225 4600 50 0000 L CNN F 2 "Capacitors_SMD:C_0805" H 8238 4550 50 0001 C CNN F 3 "" H 8200 4700 50 0001 C CNN @@ -222,10 +211,10 @@ F 3 "" H 8200 4700 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L C C? +L C C3 U 1 1 5AD63787 P 3000 4500 -F 0 "C?" H 3025 4600 50 0000 L CNN +F 0 "C3" H 3025 4600 50 0000 L CNN F 1 "10" H 3025 4400 50 0000 L CNN F 2 "Capacitors_SMD:C_0805" H 3038 4350 50 0001 C CNN F 3 "" H 3000 4500 50 0001 C CNN @@ -233,10 +222,10 @@ F 3 "" H 3000 4500 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L C C? +L C C5 U 1 1 5AD637EF P 3050 3050 -F 0 "C?" H 3075 3150 50 0000 L CNN +F 0 "C5" H 3075 3150 50 0000 L CNN F 1 "1" H 3075 2950 50 0000 L CNN F 2 "Capacitors_SMD:C_0603" H 3088 2900 50 0001 C CNN F 3 "" H 3050 3050 50 0001 C CNN @@ -244,10 +233,10 @@ F 3 "" H 3050 3050 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L C C? +L C C2 U 1 1 5AD6384A P 2800 3050 -F 0 "C?" H 2825 3150 50 0000 L CNN +F 0 "C2" H 2825 3150 50 0000 L CNN F 1 "10" H 2825 2950 50 0000 L CNN F 2 "Capacitors_SMD:C_0805" H 2838 2900 50 0001 C CNN F 3 "" H 2800 3050 50 0001 C CNN @@ -255,10 +244,10 @@ F 3 "" H 2800 3050 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L C C? +L C C12 U 1 1 5AD63EC1 P 6650 2100 -F 0 "C?" H 6675 2200 50 0000 L CNN +F 0 "C12" H 6675 2200 50 0000 L CNN F 1 "1" H 6675 2000 50 0000 L CNN F 2 "Capacitors_SMD:C_0603" H 6688 1950 50 0001 C CNN F 3 "" H 6650 2100 50 0001 C CNN @@ -266,10 +255,10 @@ F 3 "" H 6650 2100 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L C C? +L C C11 U 1 1 5AD63F55 P 6650 1850 -F 0 "C?" H 6675 1950 50 0000 L CNN +F 0 "C11" H 6675 1950 50 0000 L CNN F 1 "10" H 6675 1750 50 0000 L CNN F 2 "Capacitors_SMD:C_0805" H 6688 1700 50 0001 C CNN F 3 "" H 6650 1850 50 0001 C CNN @@ -277,10 +266,10 @@ F 3 "" H 6650 1850 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L C C? +L C C13 U 1 1 5AD64062 P 7950 3000 -F 0 "C?" H 7975 3100 50 0000 L CNN +F 0 "C13" H 7975 3100 50 0000 L CNN F 1 "1" H 7975 2900 50 0000 L CNN F 2 "Capacitors_SMD:C_0603" H 7988 2850 50 0001 C CNN F 3 "" H 7950 3000 50 0001 C CNN @@ -288,10 +277,10 @@ F 3 "" H 7950 3000 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L C C? +L C C15 U 1 1 5AD64130 P 8200 3000 -F 0 "C?" H 8225 3100 50 0000 L CNN +F 0 "C15" H 8225 3100 50 0000 L CNN F 1 "10" H 8225 2900 50 0000 L CNN F 2 "Capacitors_SMD:C_0805" H 8238 2850 50 0001 C CNN F 3 "" H 8200 3000 50 0001 C CNN @@ -299,10 +288,10 @@ F 3 "" H 8200 3000 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L C C? +L C C7 U 1 1 5AD6429E P 3700 2750 -F 0 "C?" H 3725 2850 50 0000 L CNN +F 0 "C7" H 3725 2850 50 0000 L CNN F 1 "10" H 3725 2650 50 0000 L CNN F 2 "Capacitors_SMD:C_0805" H 3738 2600 50 0001 C CNN F 3 "" H 3700 2750 50 0001 C CNN @@ -310,10 +299,10 @@ F 3 "" H 3700 2750 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L C C? +L C C9 U 1 1 5AD64524 P 5350 1500 -F 0 "C?" H 5375 1600 50 0000 L CNN +F 0 "C9" H 5375 1600 50 0000 L CNN F 1 "10" H 5375 1400 50 0000 L CNN F 2 "Capacitors_SMD:C_0805" H 5388 1350 50 0001 C CNN F 3 "" H 5350 1500 50 0001 C CNN @@ -321,10 +310,10 @@ F 3 "" H 5350 1500 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L R R? +L R R1 U 1 1 5AD64E9D P 2750 3650 -F 0 "R?" V 2830 3650 50 0000 C CNN +F 0 "R1" V 2830 3650 50 0000 C CNN F 1 "100" V 2750 3650 50 0000 C CNN F 2 "Resistors_SMD:R_0603" V 2680 3650 50 0001 C CNN F 3 "" H 2750 3650 50 0001 C CNN @@ -332,10 +321,10 @@ F 3 "" H 2750 3650 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L R R? +L R R2 U 1 1 5AD65215 P 2750 3800 -F 0 "R?" V 2830 3800 50 0000 C CNN +F 0 "R2" V 2830 3800 50 0000 C CNN F 1 "100" V 2750 3800 50 0000 C CNN F 2 "Resistors_SMD:R_0603" V 2680 3800 50 0001 C CNN F 3 "" H 2750 3800 50 0001 C CNN @@ -343,10 +332,10 @@ F 3 "" H 2750 3800 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L R R? +L R R3 U 1 1 5AD6528C P 2750 3950 -F 0 "R?" V 2830 3950 50 0000 C CNN +F 0 "R3" V 2830 3950 50 0000 C CNN F 1 "100" V 2750 3950 50 0000 C CNN F 2 "Resistors_SMD:R_0603" V 2680 3950 50 0001 C CNN F 3 "" H 2750 3950 50 0001 C CNN @@ -354,10 +343,10 @@ F 3 "" H 2750 3950 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L R R? +L R R4 U 1 1 5AD65310 P 2750 4100 -F 0 "R?" V 2830 4100 50 0000 C CNN +F 0 "R4" V 2830 4100 50 0000 C CNN F 1 "100" V 2750 4100 50 0000 C CNN F 2 "Resistors_SMD:R_0603" V 2680 4100 50 0001 C CNN F 3 "" H 2750 4100 50 0001 C CNN @@ -365,10 +354,10 @@ F 3 "" H 2750 4100 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L R R? +L R R5 U 1 1 5AD65375 P 2750 4250 -F 0 "R?" V 2830 4250 50 0000 C CNN +F 0 "R5" V 2830 4250 50 0000 C CNN F 1 "100" V 2750 4250 50 0000 C CNN F 2 "Resistors_SMD:R_0603" V 2680 4250 50 0001 C CNN F 3 "" H 2750 4250 50 0001 C CNN @@ -376,10 +365,10 @@ F 3 "" H 2750 4250 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L R R? +L R R6 U 1 1 5AD66CA9 P 8350 3450 -F 0 "R?" V 8430 3450 50 0000 C CNN +F 0 "R6" V 8430 3450 50 0000 C CNN F 1 "100" V 8350 3450 50 0000 C CNN F 2 "Resistors_SMD:R_0603" V 8280 3450 50 0001 C CNN F 3 "" H 8350 3450 50 0001 C CNN @@ -387,10 +376,10 @@ F 3 "" H 8350 3450 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L R R? +L R R7 U 1 1 5AD66CAF P 8350 3600 -F 0 "R?" V 8430 3600 50 0000 C CNN +F 0 "R7" V 8430 3600 50 0000 C CNN F 1 "100" V 8350 3600 50 0000 C CNN F 2 "Resistors_SMD:R_0603" V 8280 3600 50 0001 C CNN F 3 "" H 8350 3600 50 0001 C CNN @@ -398,10 +387,10 @@ F 3 "" H 8350 3600 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L R R? +L R R8 U 1 1 5AD66CB5 P 8350 3750 -F 0 "R?" V 8430 3750 50 0000 C CNN +F 0 "R8" V 8430 3750 50 0000 C CNN F 1 "100" V 8350 3750 50 0000 C CNN F 2 "Resistors_SMD:R_0603" V 8280 3750 50 0001 C CNN F 3 "" H 8350 3750 50 0001 C CNN @@ -409,10 +398,10 @@ F 3 "" H 8350 3750 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L R R? +L R R9 U 1 1 5AD66CBB P 8350 3900 -F 0 "R?" V 8430 3900 50 0000 C CNN +F 0 "R9" V 8430 3900 50 0000 C CNN F 1 "100" V 8350 3900 50 0000 C CNN F 2 "Resistors_SMD:R_0603" V 8280 3900 50 0001 C CNN F 3 "" H 8350 3900 50 0001 C CNN @@ -420,10 +409,10 @@ F 3 "" H 8350 3900 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L R R? +L R R11 U 1 1 5AD66CC7 P 8350 4200 -F 0 "R?" V 8430 4200 50 0000 C CNN +F 0 "R11" V 8430 4200 50 0000 C CNN F 1 "100" V 8350 4200 50 0000 C CNN F 2 "Resistors_SMD:R_0603" V 8280 4200 50 0001 C CNN F 3 "" H 8350 4200 50 0001 C CNN @@ -431,10 +420,10 @@ F 3 "" H 8350 4200 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L R R? +L R R12 U 1 1 5AD66CCD P 8350 4350 -F 0 "R?" V 8430 4350 50 0000 C CNN +F 0 "R12" V 8430 4350 50 0000 C CNN F 1 "100" V 8350 4350 50 0000 C CNN F 2 "Resistors_SMD:R_0603" V 8280 4350 50 0001 C CNN F 3 "" H 8350 4350 50 0001 C CNN @@ -442,10 +431,10 @@ F 3 "" H 8350 4350 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L R R? +L R R10 U 1 1 5AD66CC1 P 8350 4050 -F 0 "R?" V 8430 4050 50 0000 C CNN +F 0 "R10" V 8430 4050 50 0000 C CNN F 1 "100" V 8350 4050 50 0000 C CNN F 2 "Resistors_SMD:R_0603" V 8280 4050 50 0001 C CNN F 3 "" H 8350 4050 50 0001 C CNN @@ -453,10 +442,10 @@ F 3 "" H 8350 4050 50 0001 C CNN 0 1 1 0 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR07 U 1 1 5AD6A6C1 P 7950 2800 -F 0 "#PWR?" H 7950 2550 50 0001 C CNN +F 0 "#PWR07" H 7950 2550 50 0001 C CNN F 1 "GNDA" H 7950 2650 50 0000 C CNN F 2 "" H 7950 2800 50 0001 C CNN F 3 "" H 7950 2800 50 0001 C CNN @@ -464,10 +453,10 @@ F 3 "" H 7950 2800 50 0001 C CNN -1 0 0 1 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR08 U 1 1 5AD6A80D P 8200 2800 -F 0 "#PWR?" H 8200 2550 50 0001 C CNN +F 0 "#PWR08" H 8200 2550 50 0001 C CNN F 1 "GNDA" H 8200 2650 50 0000 C CNN F 2 "" H 8200 2800 50 0001 C CNN F 3 "" H 8200 2800 50 0001 C CNN @@ -475,10 +464,10 @@ F 3 "" H 8200 2800 50 0001 C CNN -1 0 0 1 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR09 U 1 1 5AD6A87B P 7950 4900 -F 0 "#PWR?" H 7950 4650 50 0001 C CNN +F 0 "#PWR09" H 7950 4650 50 0001 C CNN F 1 "GNDA" H 7950 4750 50 0000 C CNN F 2 "" H 7950 4900 50 0001 C CNN F 3 "" H 7950 4900 50 0001 C CNN @@ -486,10 +475,10 @@ F 3 "" H 7950 4900 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR010 U 1 1 5AD6A94C P 8200 4900 -F 0 "#PWR?" H 8200 4650 50 0001 C CNN +F 0 "#PWR010" H 8200 4650 50 0001 C CNN F 1 "GNDA" H 8200 4750 50 0000 C CNN F 2 "" H 8200 4900 50 0001 C CNN F 3 "" H 8200 4900 50 0001 C CNN @@ -497,10 +486,10 @@ F 3 "" H 8200 4900 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L C C? +L C C6 U 1 1 5AD6D64B P 3250 4500 -F 0 "C?" H 3275 4600 50 0000 L CNN +F 0 "C6" H 3275 4600 50 0000 L CNN F 1 "1" H 3275 4400 50 0000 L CNN F 2 "Capacitors_SMD:C_0603" H 3288 4350 50 0001 C CNN F 3 "" H 3250 4500 50 0001 C CNN @@ -508,10 +497,10 @@ F 3 "" H 3250 4500 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR011 U 1 1 5AD6D7D7 P 3800 4900 -F 0 "#PWR?" H 3800 4650 50 0001 C CNN +F 0 "#PWR011" H 3800 4650 50 0001 C CNN F 1 "GNDA" H 3800 4750 50 0000 C CNN F 2 "" H 3800 4900 50 0001 C CNN F 3 "" H 3800 4900 50 0001 C CNN @@ -519,10 +508,10 @@ F 3 "" H 3800 4900 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR012 U 1 1 5AD6D848 P 3000 5350 -F 0 "#PWR?" H 3000 5100 50 0001 C CNN +F 0 "#PWR012" H 3000 5100 50 0001 C CNN F 1 "GNDA" H 3000 5200 50 0000 C CNN F 2 "" H 3000 5350 50 0001 C CNN F 3 "" H 3000 5350 50 0001 C CNN @@ -530,10 +519,10 @@ F 3 "" H 3000 5350 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR013 U 1 1 5AD6D929 P 2750 5350 -F 0 "#PWR?" H 2750 5100 50 0001 C CNN +F 0 "#PWR013" H 2750 5100 50 0001 C CNN F 1 "GNDA" H 2750 5200 50 0000 C CNN F 2 "" H 2750 5350 50 0001 C CNN F 3 "" H 2750 5350 50 0001 C CNN @@ -541,10 +530,10 @@ F 3 "" H 2750 5350 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR014 U 1 1 5AD6D99A P 3000 4700 -F 0 "#PWR?" H 3000 4450 50 0001 C CNN +F 0 "#PWR014" H 3000 4450 50 0001 C CNN F 1 "GNDA" H 3000 4550 50 0000 C CNN F 2 "" H 3000 4700 50 0001 C CNN F 3 "" H 3000 4700 50 0001 C CNN @@ -552,10 +541,10 @@ F 3 "" H 3000 4700 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR015 U 1 1 5AD6DB5B P 3250 4700 -F 0 "#PWR?" H 3250 4450 50 0001 C CNN +F 0 "#PWR015" H 3250 4450 50 0001 C CNN F 1 "GNDA" H 3250 4550 50 0000 C CNN F 2 "" H 3250 4700 50 0001 C CNN F 3 "" H 3250 4700 50 0001 C CNN @@ -563,10 +552,10 @@ F 3 "" H 3250 4700 50 0001 C CNN 1 0 0 -1 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR016 U 1 1 5AD6ECBC P 3050 2850 -F 0 "#PWR?" H 3050 2600 50 0001 C CNN +F 0 "#PWR016" H 3050 2600 50 0001 C CNN F 1 "GNDA" H 3050 2700 50 0000 C CNN F 2 "" H 3050 2850 50 0001 C CNN F 3 "" H 3050 2850 50 0001 C CNN @@ -574,10 +563,10 @@ F 3 "" H 3050 2850 50 0001 C CNN -1 0 0 1 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR017 U 1 1 5AD6ED2F P 2800 2850 -F 0 "#PWR?" H 2800 2600 50 0001 C CNN +F 0 "#PWR017" H 2800 2600 50 0001 C CNN F 1 "GNDA" H 2800 2700 50 0000 C CNN F 2 "" H 2800 2850 50 0001 C CNN F 3 "" H 2800 2850 50 0001 C CNN @@ -585,10 +574,10 @@ F 3 "" H 2800 2850 50 0001 C CNN -1 0 0 1 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR018 U 1 1 5AD6F8BE P 3700 2550 -F 0 "#PWR?" H 3700 2300 50 0001 C CNN +F 0 "#PWR018" H 3700 2300 50 0001 C CNN F 1 "GNDA" H 3700 2400 50 0000 C CNN F 2 "" H 3700 2550 50 0001 C CNN F 3 "" H 3700 2550 50 0001 C CNN @@ -596,20 +585,121 @@ F 3 "" H 3700 2550 50 0001 C CNN -1 0 0 1 $EndComp $Comp -L GNDA #PWR? +L GNDA #PWR019 U 1 1 5AD70663 P 5550 1500 -F 0 "#PWR?" H 5550 1250 50 0001 C CNN +F 0 "#PWR019" H 5550 1250 50 0001 C CNN F 1 "GNDA" H 5550 1350 50 0000 C CNN F 2 "" H 5550 1500 50 0001 C CNN F 3 "" H 5550 1500 50 0001 C CNN 1 5550 1500 0 -1 -1 0 $EndComp -Wire Wire Line - 4100 4150 3850 4150 -Wire Wire Line - 7300 4650 7000 4650 +$Comp +L C C10 +U 1 1 5AD71469 +P 5450 1800 +F 0 "C10" H 5475 1900 50 0000 L CNN +F 1 "10" H 5475 1700 50 0000 L CNN +F 2 "Capacitors_SMD:C_0805" H 5488 1650 50 0001 C CNN +F 3 "" H 5450 1800 50 0001 C CNN + 1 5450 1800 + 0 1 1 0 +$EndComp +$Comp +L GNDA #PWR020 +U 1 1 5AD7146F +P 5650 1800 +F 0 "#PWR020" H 5650 1550 50 0001 C CNN +F 1 "GNDA" H 5650 1650 50 0000 C CNN +F 2 "" H 5650 1800 50 0001 C CNN +F 3 "" H 5650 1800 50 0001 C CNN + 1 5650 1800 + 0 -1 -1 0 +$EndComp +$Comp +L GNDA #PWR021 +U 1 1 5AD72648 +P 6850 1850 +F 0 "#PWR021" H 6850 1600 50 0001 C CNN +F 1 "GNDA" H 6850 1700 50 0000 C CNN +F 2 "" H 6850 1850 50 0001 C CNN +F 3 "" H 6850 1850 50 0001 C CNN + 1 6850 1850 + 0 -1 -1 0 +$EndComp +$Comp +L GNDA #PWR022 +U 1 1 5AD726B9 +P 6850 2100 +F 0 "#PWR022" H 6850 1850 50 0001 C CNN +F 1 "GNDA" H 6850 1950 50 0000 C CNN +F 2 "" H 6850 2100 50 0001 C CNN +F 3 "" H 6850 2100 50 0001 C CNN + 1 6850 2100 + 0 -1 -1 0 +$EndComp +NoConn ~ 9450 4650 +NoConn ~ 9450 3250 +NoConn ~ 6200 850 +NoConn ~ 950 2850 +NoConn ~ 950 3750 +NoConn ~ 950 4250 +$Comp +L PCM3168A U1 +U 1 1 5A95B6EC +P 5550 3900 +F 0 "U1" H 5500 3800 60 0000 C CNN +F 1 "PCM3168A" H 5550 3900 60 0000 C CNN +F 2 "Housings_QFP:HTQFP-64-1EP_10x10mm_Pitch0.5mm_ThermalPad" H 5400 3650 60 0001 C CNN +F 3 "http://www.ti.com/lit/ds/symlink/pcm3168a-q1.pdf" H 5400 3650 60 0001 C CNN + 1 5550 3900 + 1 0 0 -1 +$EndComp +$Comp +L +5V #PWR023 +U 1 1 5AF34093 +P 10000 4550 +F 0 "#PWR023" H 10000 4400 50 0001 C CNN +F 1 "+5V" H 10000 4690 50 0000 C CNN +F 2 "" H 10000 4550 50 0001 C CNN +F 3 "" H 10000 4550 50 0001 C CNN + 1 10000 4550 + 1 0 0 -1 +$EndComp +$Comp +L +5V #PWR024 +U 1 1 5AF34160 +P 8150 5600 +F 0 "#PWR024" H 8150 5450 50 0001 C CNN +F 1 "+5V" H 8150 5740 50 0000 C CNN +F 2 "" H 8150 5600 50 0001 C CNN +F 3 "" H 8150 5600 50 0001 C CNN + 1 8150 5600 + 1 0 0 -1 +$EndComp +$Comp +L L7805 U2 +U 1 1 5AF35271 +P 7700 5600 +F 0 "U2" H 7550 5725 50 0000 C CNN +F 1 "L7805" H 7700 5725 50 0000 L CNN +F 2 "" H 7725 5450 50 0001 L CIN +F 3 "" H 7700 5550 50 0001 C CNN + 1 7700 5600 + 1 0 0 -1 +$EndComp +$Comp +L +5V #PWR025 +U 1 1 5AF3D2F8 +P 5650 300 +F 0 "#PWR025" H 5650 150 50 0001 C CNN +F 1 "+5V" H 5650 440 50 0000 C CNN +F 2 "" H 5650 300 50 0001 C CNN +F 3 "" H 5650 300 50 0001 C CNN + 1 5650 300 + 1 0 0 -1 +$EndComp Wire Wire Line 4100 3250 3850 3250 Wire Wire Line @@ -787,25 +877,17 @@ Wire Wire Line Wire Wire Line 9300 3450 9450 3450 Wire Wire Line - 9450 3350 9350 3350 + 9350 3350 10100 3350 Wire Wire Line 9350 3350 9350 3150 Wire Wire Line 7150 3150 7150 3350 Wire Wire Line 7150 3350 7000 3350 -Wire Wire Line - 7100 3250 7000 3250 -Wire Wire Line - 7100 3000 7100 3250 -Wire Wire Line - 7100 3000 7350 3000 Wire Wire Line 9350 3150 7150 3150 Connection ~ 7950 3150 Connection ~ 8200 3150 -Wire Wire Line - 7000 4550 9450 4550 Connection ~ 7950 4550 Connection ~ 8200 4550 Wire Wire Line @@ -820,8 +902,6 @@ Wire Wire Line 7950 2800 7950 2850 Wire Wire Line 8200 2800 8200 2850 -Wire Wire Line - 7950 4850 7950 4900 Wire Wire Line 8200 4850 8200 4900 Wire Wire Line @@ -856,27 +936,13 @@ Wire Wire Line 6200 6350 6200 5350 Wire Wire Line 6300 5350 6300 6350 -Wire Wire Line - 3650 4550 4100 4550 Wire Wire Line 3250 4700 3250 4650 Wire Wire Line 3000 4700 3000 4650 -Wire Wire Line - 4000 4650 4000 4900 -Wire Wire Line - 4000 4650 4100 4650 Wire Wire Line 3800 4850 3800 4900 -Wire Wire Line - 4100 4450 3600 4450 -Wire Wire Line - 3600 4450 3600 5050 -Wire Wire Line - 3600 5050 2650 5050 Connection ~ 3000 5050 -Wire Wire Line - 4100 4250 3900 4250 Wire Wire Line 3900 4250 3900 4350 Wire Wire Line @@ -885,7 +951,7 @@ Connection ~ 3250 4350 Wire Wire Line 1750 4350 1750 3850 Wire Wire Line - 1750 3850 950 3850 + 1750 3850 550 3850 Connection ~ 3000 4350 Wire Wire Line 4100 4350 4000 4350 @@ -912,7 +978,7 @@ Wire Wire Line Wire Wire Line 1450 4550 1450 4050 Wire Wire Line - 1450 4050 950 4050 + 1450 4050 550 4050 Connection ~ 2750 5050 Wire Wire Line 3650 4550 3650 5700 @@ -924,8 +990,6 @@ Wire Wire Line 2550 4750 1300 4750 Wire Wire Line 1300 4750 1300 4150 -Wire Wire Line - 1300 4150 950 4150 Connection ~ 3800 4550 Wire Wire Line 4100 3550 2400 3550 @@ -939,17 +1003,11 @@ Wire Wire Line 2500 3450 2500 3050 Wire Wire Line 2500 3050 950 3050 -Wire Wire Line - 4100 3350 3250 3350 -Wire Wire Line - 3250 3350 3250 3200 -Wire Wire Line - 3250 3200 2600 3200 Connection ~ 3050 3200 Wire Wire Line - 2600 3200 2600 2950 + 2600 2950 2600 3200 Wire Wire Line - 2600 2950 950 2950 + 550 2950 2600 2950 Connection ~ 2800 3200 Wire Wire Line 2800 2850 2800 2900 @@ -971,7 +1029,7 @@ Wire Wire Line Wire Wire Line 2550 2550 2550 2750 Wire Wire Line - 2550 2750 950 2750 + 2550 2750 500 2750 Wire Wire Line 5000 850 5000 2450 Wire Wire Line @@ -983,30 +1041,8 @@ Wire Wire Line Wire Wire Line 5550 1500 5500 1500 Wire Wire Line - 5200 850 5200 2450 + 5200 350 5200 2450 Connection ~ 5200 1500 -$Comp -L C C? -U 1 1 5AD71469 -P 5450 1800 -F 0 "C?" H 5475 1900 50 0000 L CNN -F 1 "10" H 5475 1700 50 0000 L CNN -F 2 "Capacitors_SMD:C_0805" H 5488 1650 50 0001 C CNN -F 3 "" H 5450 1800 50 0001 C CNN - 1 5450 1800 - 0 1 1 0 -$EndComp -$Comp -L GNDA #PWR? -U 1 1 5AD7146F -P 5650 1800 -F 0 "#PWR?" H 5650 1550 50 0001 C CNN -F 1 "GNDA" H 5650 1650 50 0000 C CNN -F 2 "" H 5650 1800 50 0001 C CNN -F 3 "" H 5650 1800 50 0001 C CNN - 1 5650 1800 - 0 -1 -1 0 -$EndComp Wire Wire Line 5650 1800 5600 1800 Wire Wire Line @@ -1018,7 +1054,7 @@ Wire Wire Line Wire Wire Line 5800 1350 5300 1350 Wire Wire Line - 5300 1350 5300 850 + 5300 1350 5300 500 Connection ~ 5300 1800 Wire Wire Line 5400 2450 5400 1950 @@ -1101,43 +1137,141 @@ Wire Wire Line Wire Wire Line 6100 950 6100 850 Wire Wire Line - 6200 2450 6200 2350 + 6500 2450 6300 2450 +Connection ~ 6500 2100 +Connection ~ 6500 1850 Wire Wire Line - 6200 2350 6350 2350 + 6800 2100 6850 2100 Wire Wire Line - 6350 2350 6350 2300 + 3900 4250 4100 4250 Wire Wire Line - 6500 2450 6300 2450 + 3650 4550 4100 4550 Wire Wire Line - 6500 850 6500 2450 -Connection ~ 6500 2100 + 1300 4150 550 4150 Wire Wire Line - 6500 850 6300 850 -Connection ~ 6500 1850 + 3600 4450 4100 4450 +Wire Wire Line + 3600 5050 3600 4450 +Wire Wire Line + 2650 5050 3600 5050 +Wire Wire Line + 3850 4150 4100 4150 +Wire Wire Line + 2600 3200 3350 3200 +Wire Wire Line + 3350 3200 3350 3350 +Wire Wire Line + 3350 3350 4100 3350 +Wire Wire Line + 7000 3250 7100 3250 +Wire Wire Line + 7100 3250 7100 3000 +Wire Wire Line + 7100 3000 7350 3000 +Wire Wire Line + 7950 4850 7950 4900 +Wire Wire Line + 7300 4650 7000 4650 +Wire Wire Line + 6350 2300 6350 2400 +Wire Wire Line + 6350 2400 6200 2400 +Wire Wire Line + 6200 2400 6200 2450 +Wire Wire Line + 6800 1850 6850 1850 +Wire Wire Line + 8000 5600 8300 5600 +Wire Wire Line + 7000 4550 10100 4550 +Connection ~ 9450 4550 +Wire Wire Line + 10100 4550 10100 3350 +Connection ~ 9450 3350 +Connection ~ 10000 4550 +Wire Wire Line + 5650 300 5650 500 +Wire Wire Line + 5200 350 6300 350 +Connection ~ 5200 850 +Wire Wire Line + 5650 500 5300 500 +Connection ~ 5300 850 +Connection ~ 5650 350 +Wire Wire Line + 6300 350 6300 1650 +Wire Wire Line + 6300 1650 6500 1650 +Wire Wire Line + 6500 1650 6500 2450 +Connection ~ 6300 850 $Comp -L GNDA #PWR? -U 1 1 5AD72648 -P 6850 1850 -F 0 "#PWR?" H 6850 1600 50 0001 C CNN -F 1 "GNDA" H 6850 1700 50 0000 C CNN -F 2 "" H 6850 1850 50 0001 C CNN -F 3 "" H 6850 1850 50 0001 C CNN - 1 6850 1850 - 0 -1 -1 0 +L +5V #PWR026 +U 1 1 5AF41155 +P 300 3400 +F 0 "#PWR026" H 300 3250 50 0001 C CNN +F 1 "+5V" H 300 3540 50 0000 C CNN +F 2 "" H 300 3400 50 0001 C CNN +F 3 "" H 300 3400 50 0001 C CNN + 1 300 3400 + 1 0 0 -1 $EndComp +Wire Wire Line + 300 3400 550 3400 +Wire Wire Line + 550 4150 550 2950 +Connection ~ 950 2950 +Wire Wire Line + 500 2750 500 3400 +Connection ~ 950 2750 +Connection ~ 500 3400 +Connection ~ 550 3400 +Connection ~ 950 3850 +Connection ~ 550 3850 +Connection ~ 950 4050 +Connection ~ 550 4050 +Connection ~ 950 4150 +Connection ~ 3800 4900 $Comp -L GNDA #PWR? -U 1 1 5AD726B9 -P 6850 2100 -F 0 "#PWR?" H 6850 1850 50 0001 C CNN -F 1 "GNDA" H 6850 1950 50 0000 C CNN -F 2 "" H 6850 2100 50 0001 C CNN -F 3 "" H 6850 2100 50 0001 C CNN - 1 6850 2100 - 0 -1 -1 0 +L GNDA #PWR027 +U 1 1 5AF46ED9 +P 8150 5800 +F 0 "#PWR027" H 8150 5550 50 0001 C CNN +F 1 "GNDA" H 8150 5650 50 0000 C CNN +F 2 "" H 8150 5800 50 0001 C CNN +F 3 "" H 8150 5800 50 0001 C CNN + 1 8150 5800 + 1 0 0 -1 $EndComp Wire Wire Line - 6800 1850 6850 1850 + 8000 5950 8000 5600 Wire Wire Line - 6800 2100 6850 2100 + 8000 5750 8150 5750 +Wire Wire Line + 8150 5750 8150 5800 +Connection ~ 8000 5600 +Wire Wire Line + 4100 4900 4100 4650 +$Comp +L GNDD #PWR028 +U 1 1 5AF4B48F +P 8300 5700 +F 0 "#PWR028" H 8300 5450 50 0001 C CNN +F 1 "GNDD" H 8300 5575 50 0000 C CNN +F 2 "" H 8300 5700 50 0001 C CNN +F 3 "" H 8300 5700 50 0001 C CNN + 1 8300 5700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8300 5600 8300 5700 +Connection ~ 8150 5600 +Wire Wire Line + 7400 5600 7400 5950 +Wire Wire Line + 7400 5950 8000 5950 +Wire Wire Line + 7700 5950 7700 5900 +Connection ~ 8000 5750 +Connection ~ 7700 5950 $EndSCHEMATC diff --git a/fpga-toolchain/experiments/top.v b/fpga-toolchain/experiments/top.v index 421c0a4..6a6e3ad 100644 --- a/fpga-toolchain/experiments/top.v +++ b/fpga-toolchain/experiments/top.v @@ -1,42 +1,62 @@ -// Blink an LED provided an input clock -/* module */ -module top (hwclk, mosi, led1, led2, led3, led4, led5, led6, led7, led8, clkout , out); - /* I/O */ - input hwclk; - input mosi; - output led1; - output led2; - output led3; - output led4; - output led5; - output led6; - output led7; - output led8; - output clkout; - output out; - wire clkout; - - /* Counter register */ - reg [31:0] counter = 32'b0; - reg [8:0] shiftreg = 9'b1; - - /* LED drivers */ - assign led1 = shiftreg[0]; - assign led2 = shiftreg[1]; - assign led3 = shiftreg[2]; - assign led4 = shiftreg[3]; - assign led5 = shiftreg[4]; - assign led6 = shiftreg[5]; - assign led7 = shiftreg[6]; - assign led8 = shiftreg[7]; - - assign clkout = hwclk; - assign out = shiftreg[8]; - - /* always */ - always @ (negedge hwclk) - begin - shiftreg = (shiftreg << 1) + mosi; - end +// File blinky.vhdl translated with vhd2vl v3.0 VHDL to Verilog RTL translator +// vhd2vl settings: +// * Verilog Module Declaration Style: 2001 + +// vhd2vl is Free (libre) Software: +// Copyright (C) 2001 Vincenzo Liguori - Ocean Logic Pty Ltd +// http://www.ocean-logic.com +// Modifications Copyright (C) 2006 Mark Gonzales - PMC Sierra Inc +// Modifications (C) 2010 Shankar Giri +// Modifications Copyright (C) 2002-2017 Larry Doolittle +// http://doolittle.icarus.com/~larry/vhd2vl/ +// Modifications (C) 2017 Rodrigo A. Melo +// +// vhd2vl comes with ABSOLUTELY NO WARRANTY. Always check the resulting +// Verilog for correctness, ideally with a formal verification tool. +// +// You are welcome to redistribute vhd2vl under certain conditions. +// See the license (GPLv2) file included with the source for details. + +// The result of translation follows. Its copyright status should be +// considered unchanged from the original VHDL. + +// no timescale needed + +module top( +input wire hwclk, +input wire mosi, +output wire led1, +output wire led2, +output wire led3, +output wire led4, +output wire led5, +output wire led6, +output wire led7, +output wire led8, +output reg outnext +); + + + + +reg [7:0] shift_reg; + + assign led1 = shift_reg[0]; + assign led2 = shift_reg[1]; + assign led3 = shift_reg[2]; + assign led4 = shift_reg[3]; + assign led5 = shift_reg[4]; + assign led6 = shift_reg[5]; + assign led7 = shift_reg[6]; + assign led8 = shift_reg[7]; + assign outclk = hwclk; + always @(posedge hwclk) begin + shift_reg[7:0] <= {shift_reg[6:0],mosi}; + end + + always @(negedge hwclk) begin + outnext <= shift_reg[7]; + end + endmodule -- cgit v1.2.3